數(shù)字電子技術試 題及答案

上傳人:xinsh****encai 文檔編號:72429408 上傳時間:2022-04-09 格式:DOC 頁數(shù):31 大?。?.79MB
收藏 版權申訴 舉報 下載
數(shù)字電子技術試 題及答案_第1頁
第1頁 / 共31頁
數(shù)字電子技術試 題及答案_第2頁
第2頁 / 共31頁
數(shù)字電子技術試 題及答案_第3頁
第3頁 / 共31頁

本資源只提供3頁預覽,全部文檔請下載后查看!喜歡就下載吧,查找使用更方便

20 積分

下載資源

資源描述:

《數(shù)字電子技術試 題及答案》由會員分享,可在線閱讀,更多相關《數(shù)字電子技術試 題及答案(31頁珍藏版)》請在裝配圖網(wǎng)上搜索。

1、 試 題_ 2006 _年_2007_年第 2 學期課程名稱: 數(shù)字電子技術 專業(yè)年級: 自動化05級 考生學號: 考生姓名: 試卷類型: A卷 B卷 考試方式: 開卷 閉卷 一、填空(每空1分,共20分)1、二進制數(shù)101001對應的八進制數(shù)為 、十六進制數(shù)為 。2、十進制數(shù)59對應的二進制數(shù)為 、8421BCD碼為 。3、邏輯函數(shù)的對偶函數(shù)為 、反函數(shù)為 。4、邏輯函數(shù)的最小項表達式為 。5、描述時序電路邏輯功能的方程有輸出方程、激勵方程和 方程。6、555定時器構成的基本施密特觸發(fā)器沒有外接控制電壓時,正、負向閾值電壓分別為 和 ,回差電壓為 。7、8位并行比較型A/D轉換器中的電壓比較

2、器有 個。8、7位D/A轉換器的分辨率為 。 9、A/D轉換器的一般工作過程有取樣與 , 與編碼。10、集成單穩(wěn)態(tài)觸發(fā)器分為 和 兩種類型。11、555定時器由 、電壓比較器、 、放電三極管和 組成。二、選擇題(從下列各題的四個備選答案中選出一個正確答案,并將其編號填入該題后的括號中(20分)1、十進制數(shù)3.625的二進制數(shù)和8421BCD碼分別為( )。A. 11.11和11.001 B. 11.101和0011.011000100101C. 11.101和11.011000100101 D. 11.101和11.1012、下列幾種說法中錯誤的是( )。A. 任何邏輯函數(shù)都可以用卡諾圖表示B

3、. 邏輯函數(shù)的卡諾圖是唯一的C. 同一個卡諾圖化簡結果可能不是唯一的 D. 卡諾圖中的1的個數(shù)和0的個數(shù)相同3、和TTL電路相比,CMOS電路最突出的優(yōu)點在于( )。A. 可靠性高 B. 抗干擾能力強 C. 速度快 D. 功耗低 4、為了把串行輸入的數(shù)據(jù)轉換為并行輸出的數(shù)據(jù),可以使用( )。A. 寄存器 B. 移位寄存器 C. 計數(shù)器 D. 加法器5、單穩(wěn)態(tài)觸發(fā)器的輸出脈沖的寬度取決于( )。A. 觸發(fā)脈沖的寬度 B. 觸發(fā)脈沖的幅度C. 電路本身的電容、電阻的參數(shù) D. 電源電壓的大小6、為了提高多諧振蕩器頻率的穩(wěn)定性,最有效的方法是( )。A. 提高電容、電阻的精度 B. 提高電源的穩(wěn)定度

4、C. 采用石英晶體振蕩器 D. 保持環(huán)境溫度不變 7、已知時鐘脈沖頻率為,欲得到頻率為0.2的矩形波應采用( )。A. 五進制計數(shù)器 B. 5位二進制計數(shù)器C. 單穩(wěn)態(tài)觸發(fā)器 D. 多諧振蕩器 8、在圖1用555定時器組成的施密特觸發(fā)器電路中,它的回差電壓等于( )。A. 5V B. 2V C. 4V D. 3V圖1 圖29、設圖2所示電路的現(xiàn)態(tài),經三個CP脈沖后的狀態(tài)( )。A. 00 B. 01 C. 10 D. 1110、米利型時序邏輯電路的輸出( )。A. 只與當前輸入信號有關 B. 只與內部狀態(tài)有關C. 與輸入信號和內部狀態(tài)都有關 D. 與輸入信號和內部狀態(tài)都無關三、化簡(每小題5分

5、,共10分)1、用卡諾圖化簡下面函數(shù),求出最簡“與-或”表達式。2、用卡諾圖化簡下面函數(shù),求出最簡“或-與”表達式。四、組合邏輯電路分析和設計(每小題10分,共20分)1、某組合邏輯電路的輸入、輸出信號的波形如圖3所示。1)寫出電路的邏輯函數(shù)表達式;2)用卡諾圖化簡邏輯函數(shù);3)用8選1數(shù)據(jù)選擇器74HC151(圖4所示)實現(xiàn)該邏輯函數(shù)。圖3 圖42、由譯碼器74HC138和邏輯門電路組成的電路如圖5所示,試寫出輸出和的邏輯表達式并化簡。圖5五、時序邏輯電路分析和設計(每小題10分,共20分)1、同步時序邏輯電路及輸入信號波形如圖6所示,分析電路,列出狀態(tài)表,畫出、和的波形圖。(設觸發(fā)器初態(tài)均

6、為0)圖62、試用負邊沿D觸發(fā)器設計一同步時序邏輯電路,其狀態(tài)圖如圖7所示。1)列出狀態(tài)表;2)寫出激勵方程和輸出方程。圖7六、由555定時器和4位二進制加計數(shù)器74HC161組成的時序電路如圖8所示。(10分)1、試問555定時器組成的是什么功能電路?計算輸出信號的周期;2、試問74HC161組成多少進制計數(shù)器?畫出其狀態(tài)圖;3、設計數(shù)器初始狀態(tài)為,計數(shù)器循環(huán)計數(shù)一個周期所需時間大約是多少?圖8 試 題_ 2007 _年_2008_年第 2 學期課程名稱: 數(shù)字電子技術 專業(yè)年級: 自動化06級 考生學號: 考生姓名: 試卷類型: A卷 B卷 考試方式: 開卷 閉卷 一、選擇正確答案的代碼填

7、入空內。(每空4分,共20分)1、用卡諾圖法化簡函數(shù)13,的最簡與或式 。A BC D. 2、邏輯函數(shù)、的卡諾圖如圖1所示,它們之間的邏輯關系是 。A B.C D.圖 13、8選1數(shù)據(jù)選擇器74151組成的電路如圖2所示,則輸出函數(shù)為 。A B C D. 圖 24、圖3所示電路中,能完成邏輯功能的電路是 。圖 35、某邏輯門的輸入端A、B和輸出端F的波形圖如圖4所示,F(xiàn)與A、B的邏輯關系是 。A同或 B異或 C與非 D.或圖 4二、填空題。(每空1分,共20分)1、(85)10 =( )2 =( )16 =( )余3碼 =( )8421BCD碼 。2、函數(shù)的反函數(shù)為 、對偶函數(shù)為 。3、T觸發(fā)

8、器的特性方程是 。4、若最簡狀態(tài)圖中的狀態(tài)數(shù)為10,則至少需要 位狀態(tài)變量,最少需用 個觸發(fā)器。5、某時序電路的狀態(tài)表如表1所示,該電路是 進制計數(shù)器,電路 自啟動。 表16、1個觸發(fā)器有 個穩(wěn)態(tài),一個觸發(fā)器可記憶 位二進制數(shù)。7、消除組合邏輯電路中競爭冒險的方法有 、 和在輸出端并聯(lián)電容器。8、數(shù)字電路中,場效應管工作在其輸出特性曲線的 區(qū)和 ;BJT管工作在其輸出特性曲線的 區(qū)和 區(qū)。9、與非門的多余輸入端應 。三、組合邏輯電路如圖5所示,寫出輸出的邏輯表達式,列出真值表,說明該電路的功能。(10分)圖 5四、試用74LS138譯碼器設計組合電路,當二進制數(shù)ABC能被3整除時,輸出L為1,

9、否則為0。(10分)五、已知電路和時鐘脈沖CP及輸入信號A的電壓波形如圖6所示,試畫出輸出Y的電壓波形。設觸發(fā)器的初態(tài)均為0。(10分)圖 6六、由555定時器構成的多諧振蕩器如圖7所示,試畫出和的波形并確定其振蕩頻率及占空比的變化范圍。(10分)圖 7七、試畫出1010序列脈沖檢測器的原始狀態(tài)圖并列出最簡狀態(tài)表。該檢測器有一個串行數(shù)據(jù)輸入端和一個輸出端,在連續(xù)收到輸入序列1010(從左至右輸入,輸入序列不允許重復)時,輸出為1。八、電路如圖8所示,圖中74HC153為4選1的數(shù)據(jù)選擇器。試指出集成電路74HC138、74LVC161的功能;當M、N分別為00和10時,電路分別是哪幾種不同進制

10、的計數(shù)器。(10分)圖 8 試 題_ 2008 _年_2009_年第 2 學期課程名稱: 數(shù)字電子技術 專業(yè)年級: 自動化07級 考生學號: 考生姓名: 試卷類型: A卷 B卷 考試方式: 開卷 閉卷 一、選擇正確答案填入空內。(每空2分,共20分)1、若一單穩(wěn)態(tài)觸發(fā)器電路的輸出脈寬,恢復時間,則輸出信號的最高頻率為( )。A.f=250KHZ B. f=1MHZ C. f=100KH D. f=200KHZ2、表示任意三位十進制數(shù),至少需要( )位二進制數(shù)。A. 10 B. 9 C. 8 D.73、與圖1所示波形相對應的真值表是( ) 。 4、CMOS門電路如圖2所示,輸出端L的邏輯表達式為

11、 。 A. B. C. D.5、要使3線8線譯碼器74138工作,使能控制端的電平信號應是 。 A. 111 B. 100 C. 011 D. 001 6、某時序電路如圖3所示,若在輸出端得到100KHZ的矩形波,則該電路時鐘脈沖CP的頻率是A.100KHZ B. 200HZ C. 400KHZ D.300 KHZ7、由加法器構成的代碼變換電路如圖4所示,若輸入信號為8421BCD碼,則輸出端是 。 A、 8421BCD碼; B、 格雷碼; C、余3碼; D、 2421碼 。8、某時序電路的狀態(tài)圖如圖5所示,該電路為 。 A、 四進制加計數(shù)器; B、 四進制計數(shù)器; C、 五進制加計數(shù)器; D

12、、 五進制計數(shù)器。9、某時序電路設計過程中的最簡狀態(tài)圖中的狀態(tài)數(shù)為10個,設計該電路至少需要用 個觸發(fā)器。 A、 1 ; B、 2; C、 3 D、 4 。10、下列中規(guī)模通用集成電路中,( )屬于組合邏輯電路。A. 4位計數(shù)器74161 B. 4位加法器74283 C. 4位寄存器74194D.通用定時器555二、填空題。(每空1分,共20分)1、邏輯函數(shù)LA+C的對偶式為L=( ),= ( ) 。2、組合邏輯電路的輸出只與當時的 ( ) 狀態(tài)有關,而與電路 ( ) 的輸入狀態(tài)無關。它的基本電路是( ) 。3、(98)10 =( )2 =( )G =( )余3碼 =( )8421BCD碼4、

13、全面描述一個同步時序電路的功能,必須使用3種方程式,它們是( )、()和()。 5、D/A轉換器的主要技術指標有:() 、() 、() 和() 。6、JK觸發(fā)器的的特性方程為( );與非門構成的基本RS鎖成器的約束方程為( );D觸發(fā)器的的特性方程為( );T觸發(fā)器的的特性方程為( )。三、一個組合電路具有三個輸入端X、Y、Z,一個輸出端L,其輸入和輸出波形如圖6所示,試寫出L的標準與或式,并用 3線8線譯碼器74138(如圖7)設計電路,實現(xiàn)其功能。(10分)圖6 圖7四、畫出邏輯函數(shù)的卡諾圖,并用卡諾圖化簡求出最簡與或表達式。(10分)五、分析圖8所示的電路,寫出電路的驅動方程、狀態(tài)方程和

14、輸出方程,畫出電路的狀態(tài)圖。(10分)圖8六、試用如圖9所示的兩片四位二進制同步加計數(shù)器74161和與非門,使用反饋清零法設計一個模為(90)10的同步加計數(shù)器,畫出其電路圖。(10分)七、圖10是由555定時器組成的電路。(10分)(1)畫出電容兩端電壓和輸出電壓的波形。(2)計算震蕩周期、脈沖寬度和占空比;八、圖11所示是倒T形電阻網(wǎng)絡D/A轉換器。試求(10分)(1)的表達式;(2)已知,電壓輸出范圍;(3)當時, 圖11 試 題_ 2009 _年_2010_年第 2 學期課程名稱: 數(shù)字電子技術 專業(yè)年級: 自動化08級 考生學號: 考生姓名: 試卷類型: A卷 B卷 考試方式: 開卷

15、 閉卷 一、選擇正確答案填入空內。(每空2分,共20分)1、屬于8421BCD碼的是( )。A.1010 B.0101 C.1100 D.11012、和邏輯式相等的是( )。A. B. C. D.3、以下電路中可以實現(xiàn)“線與”功能的有( )。A.與非門 B.三態(tài)輸出門 C.集電極開路門 D.漏極開路門4、若在編碼器中有50個編碼對象,則要求輸出二進制代碼位數(shù)最少為( )位。 A.5 B.6 C.10 D.505、下列表達式中不存在競爭與冒險的有( )。A. B. C. D.6、僅具有“置1”、 “置0”功能的觸發(fā)器稱為( )。A.JK觸發(fā)器 B.RS觸發(fā)器 C. D觸發(fā)器 D.T觸發(fā)器7、把一

16、個五進制計數(shù)器和一個四進制計數(shù)器串聯(lián)可得到( )進制計數(shù)器。A.4 B.5 C.9 D.208、某移位寄存器的時鐘脈沖頻率為,欲將放在該寄存器中的數(shù)左移8位,完成該操作需要( )時間。A.10 B. 80 C.100 D. 8009、用555定時器組成施密特觸發(fā)器,當輸入控制端外接10V電壓時,回差電壓為( )。A.3.33V B.5V C. 6.66V D.10V10、將一個時間上連續(xù)變化的模擬量轉換為時間上斷續(xù)(離散)的模擬量的過程稱為( )。A.采樣 B.量化 C.保持 D.編碼二、填空題。(每空1分,共20分)1、邏輯函數(shù)的反函數(shù)為( ),對偶式為( ) 。2、邏輯函數(shù)的化簡方法有(

17、) 和( )。3、(35.75)10 =( )2 =( )8421BCD碼4、一個8選1的數(shù)據(jù)選擇器的數(shù)據(jù)輸入端有( )個。 5、對于共陽接法的發(fā)光二極管數(shù)碼顯示器,應采用()電平驅動的七段顯示譯碼器 。6、JK觸發(fā)器的的特性方程為( ),它具有( )、( )、( )和( )功能。7、寄存器按照功能不同可分為兩大類:( )寄存器和( )寄存器。8、時序邏輯電路按照其觸發(fā)器是否有統(tǒng)一的時鐘控制分為( )時序電路和( )時序電路。9、ROM和RAM的主要區(qū)別是( )。10、若將8k8位的SRAM芯片MCM6264組成64k16為的存儲系統(tǒng),共需要( )片MCM6264芯片。11、單片集成A/D轉換

18、器的轉換精度是用( )和轉換誤差來描述的。三、一個組合電路具有三個輸入端X、Y、Z,一個輸出端L,其輸入和輸出波形如圖1所示,試寫出L的標準與或式,并用8選1數(shù)據(jù)選擇器74HC151(如圖2)設計電路,實現(xiàn)其功能。(10分)圖1 圖2四、畫出邏輯函數(shù)的卡諾圖,并用卡諾圖化簡求出最簡與或表達式和或與表達式。(10分)五、分析圖3所示的電路,寫出電路的驅動方程、狀態(tài)方程和輸出方程,列出電路的狀態(tài)表。(10分)圖3六、分析圖4所示時序電路,列出電路的狀態(tài)表(有效狀態(tài)),并指出其邏輯功能。(10分)圖4七、圖5是由555定時器組成的電子門鈴電路。按下開關S使門鈴Y鳴響,且抬手后持續(xù)一段時間。(10分)

19、(1)計算門鈴的鳴響頻率;(2)在電源電壓VCC不變的條件下,要使門鈴的鳴響時間延長,可改變電路中哪個原件的參數(shù);圖5八、圖6所示是8位倒T形電阻網(wǎng)絡D/A轉換器。試求(10分)(1)的表達式;(2)已知,電壓輸出范圍;(3)當D7D6D0=10001100時, 圖6 試 題_ 2010 _年_2011_年第 2 學期課程名稱: 數(shù)字電子技術 專業(yè)年級: 自動化09級 考生學號: 考生姓名: 試卷類型: A卷 B卷 考試方式: 開卷 閉卷 一、選擇正確答案填入空內。(每空2分,共20分)1、某一邏輯函數(shù)真值確定后,下面描述該函數(shù)功能的方法中,具有唯一性的是( )。A.邏輯函數(shù)的最簡與或式 B.

20、邏輯函數(shù)的最簡或與式C.邏輯函數(shù)的最小項之和 D.邏輯函數(shù)的與或式2、同步計數(shù)器和異步計數(shù)器比較,同步計數(shù)器的顯著優(yōu)點是( )。A.觸發(fā)器利用率高 B.工作速度高 C.電路簡單 D.不受CP時鐘控制3、維持阻塞D觸發(fā)器是( )。A.在CP=1時觸發(fā) B.在CP=0時觸發(fā) C.CP上升沿觸發(fā) D.CP下降沿觸發(fā)4、將一個包含有32768個基本單元的存儲電路設計成4096個字節(jié)的RAM,該RAM有( )根數(shù)據(jù)線,( )根地址線。 A.12、8 B.8、12 C.12、4 D.4、125、速度最快的A/D轉換器是( )A/D轉換器。A.并行比較型 B.串型比較行 C.逐次比較型 D.雙積分型6、將一

21、方波信號變換為相同重復周期的矩形窄脈沖,例如將脈沖寬度為10ms的方波信號變換為脈沖寬度為1ms的矩形脈沖,可采用( )。A.單穩(wěn)態(tài)觸發(fā)器 B.雙穩(wěn)態(tài)觸發(fā)器 C. 二進制計數(shù)器 D.十進制計數(shù)器7、集成電路74LS138譯碼器輸出 ( ) 有效。A.高電平 B.任意電平 C.不確定 D.低電平8、對于驅動共陰極顯示器件的顯示譯碼器的輸出,應是( )有效。A.低電平 B. 高電平 C.任意電平 D. 不確定9、已知邏輯函數(shù)F=AB+CD,選出下列可以肯定使F=1的狀態(tài)是( )。A.A=0,BC=1,D=0 B.A=0,BD=1,C=0 C. AB=1,C=0,D=0 D.AC=1,B=0,D=0

22、10、(共連續(xù)2010個1),(共連續(xù)2011個1)的結果是 ( )。A.0,0 B.0,1 C.1,0 D.1,1二、填空題。(每空1分,共20分)1、邏輯函數(shù)的反函數(shù)式為( ),對偶函數(shù)式為( ) 。2、TTL與非門的一個輸入端經10kW電阻接地,其余輸入端懸空,輸出端為 ( )電平。3、(100101101.11)2 =( )8 =( )16 =( )104、一個容量為256字4位的RAM,該RAM有( )個存儲單元,有( )根地址線,當?shù)綦姾?,該存儲單元的內容將?)。 5、由74LS161組成的任意進制計數(shù)器,采用反饋清零方式,當Q3Q2Q1Q0=1001時清零,問該計數(shù)器是( )進

23、制加法計數(shù)器 。6、T觸發(fā)器的的特性方程為( ),它具有( )和( )功能。7、常用時序邏輯集成電路有( )和( )。8、(99)10 =( )余3碼 =( )8421BCD碼 。9、的最簡與或式是( )。10、與輸入信號和內部狀態(tài)都有關的同步時序邏輯電路叫( )型邏輯電路。11、某時序電路設計過程中的最簡狀態(tài)圖中的狀態(tài)數(shù)為9個,設計該電路至少需要用( )個觸發(fā)器。三、有A、B、C三位委員表決提案,提案需三分之二多數(shù)贊成才能通過,其中,A具有一票否決權。試寫出輸出L的最簡與或式,并用8選1數(shù)據(jù)選擇器74HC151(如圖1)設計電路,實現(xiàn)其功能。(10分)圖1四、畫出邏輯函數(shù)的卡諾圖,并用卡諾圖

24、化簡求出最簡與或表達式和最簡或與表達式。(10分)五、圖2所示同步計數(shù)器,由JK觸發(fā)器構成。寫出電路的驅動方程、狀態(tài)方程,列出電狀態(tài)表,畫出狀態(tài)圖,并說明計數(shù)器的模是多少。(10分)圖2六、試設計一個可控的同步加法計數(shù)器,當控制信號X=0時為五進制,當X=1時為四進制,其狀態(tài)轉移表如表1。要求采用上升沿邊沿D觸發(fā)器實現(xiàn),作出激勵函數(shù),畫出電路圖(不需檢查自啟動能力)。(10分)表1 狀態(tài)轉移表七、圖3是由一個555定時器和一個四位二進制加法計數(shù)器組成的可調節(jié)計數(shù)式定時器原理圖。試回答下列問題:(10分)(1)電路中555定時器接成何種電路?(2)若計數(shù)器的初態(tài)Q3Q2Q1Q0=0000,當開關

25、S接通后,發(fā)光二極管D兩次變亮的間隔時間是多少(設電位器的阻值R2全部接入電路)?圖3八、圖4所示是4位權電流型D/A轉換器。試求(10分)(1)的表達式;(2)已知,電壓輸出范圍;(3)當D3D2 D1D0=1000時, 圖4數(shù)字電子技術試卷(A卷)參考答案一、 填空題:(每空1分,共20 分)1、 2、 3、 ,4、 5、 狀態(tài)6、 7、 2558、 9、 保持 量化10、 不可重復觸發(fā) 可重復觸發(fā)11、 分壓器 SR鎖存器 緩沖器二、 選擇題(每題2分,共20分)1、 B 2、D 3、D 4、B 5、C 6、 C 7、A 8、B 9、D 10、C三、化簡(10分)1、 (2分) (3分)

26、2、 (2分) (3分)四、 組合電路分析和設計(每小題10分,共20分)1、 1) (3分)2) (4分)3) (3分)2、 (3分) (2分) (3分) (2分)五、時序邏輯電路分析和設計(每小題10分,共20分)1、 (5分) (2分) (3分)2、1) (4分)2) (2分) (2分) (2分)六、(10分)1、555定時器組成多諧振蕩器。 輸出信號的周期為: (4分)2、74HC161組成的是十進制加計數(shù)器,狀態(tài)表如下: (4分)3、因為振蕩器周期為0.1S,所以計數(shù)器循環(huán)計數(shù)一周所需時間為1秒。 (2分)數(shù)字電子技術試卷(A卷)參考答案一、 選擇題(從下列各題的四個備選答案中選出一

27、個正確答案,并將其代號填在括號內)(每題4分,共20分)1、D 2、B 3、C 4、B 5、A 二、 填空題:(每空1分,共20 分)1、2、,3、4、4 , 4 5、五, 可以 6、2, 17、發(fā)現(xiàn)并消去互補乘積項,增加乘積項以避免互補項相加8、可變電阻, 截止;飽和, 截止9、接高電平三、(10分) (3分) (3分)(2分)功能:全減器。 (2分)四、(10分) (5分)(5分)五、(10分)(10分)六、(10分) (4分) (3分)占空比 (3分)七、(10分)(5分)(5分)八、(10分)74HC138為3線8線譯碼器 (2分)74LVC161為四位二進制加法計數(shù)器。 (2分)當M

28、N=00時,電路是八進制加法計數(shù)器; (3分)當MN=10時,電路是十四進制加法計數(shù)器。 (3分)數(shù)字電子技術試卷08級(A卷)參考答案一、 選擇題(每題2分,共20分)1、 B 2、C 3、C或D 4、B 5、C 6、 C 7、D 8、B 9、B 10、A二、 填空題:(每空1分,共20 分)1、 2、 代數(shù)法 卡諾圖法3、 100011.11 00110101.011101014、 85、 低6、 置1 置0 保持 翻轉7、 并行(數(shù)值) 串行(移位)8、 同步 異步9、 RAM數(shù)據(jù)掉電丟失,ROM不丟失10、1611、分辨率三、(10分) (2分) (8分)四、(10分) (5分) (5

29、分)五、(10分) (6分) (4分)六、(10分) (6分) X=0: 四進制加法計數(shù)器; X=1:六進制加法計數(shù)器 (4分)七、(10分)(1) (6分)(2) 或 (4分)八、(1) (4分)(2) 當時, 當時, (3分)(3) 當時, (3分)數(shù)字電子技術試卷09級(A卷)參考答案一、 選擇題(每題2分,共20分)1、 C 2、B 3、C 4、B 5、A 6、 A 7、D 8、B 9、C 10、B二、 填空題:(每空1分,共20 分)1、 2、 低3、 (455.6)8=(12D.C)16=(301.75)104、 256 8 丟失5、九6、 保持 翻轉(計數(shù))7、寄存器 計數(shù)器8、

30、 (11001100)余3碼 (10011001)8421BCD碼9、 A+B10、Mealy(米利)11、五三、(10分)(6分) (4分)四、(10分) (5分) (5分)五、(10分) (2分) (2分) (2分) (2分)模為五 (2分)六、(10分) (3分) (3分)(4分)七、(10分)(1)電路中555定時器接成多諧振蕩器 (4分)(2)秒 (3分)發(fā)光二極管D兩次變亮的間隔時間是:1635=560秒 (3分) 八、(1) (4分)(2) (3分)(3) 當時, (3分)注:1、教師命題時題目之間不留空白; 2、考生不得在試題紙上答題,教師只批閱答題冊正面部分,若考生須在試題圖上作解答,請另附該試題圖。3、請在試卷類型、考試方式后打勾注明。(第 31 頁)

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
4. 未經權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
6. 下載文件中如有侵權或不適當內容,請與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

相關資源

更多
正為您匹配相似的精品文檔
關于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內容的表現(xiàn)方式做保護處理,對上載內容本身不做任何修改或編輯。若文檔所含內容侵犯了您的版權或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!