病房呼叫系統(tǒng) EDA課程設(shè)計報告書

上傳人:緣*** 文檔編號:28986988 上傳時間:2021-09-22 格式:DOC 頁數(shù):8 大?。?94.01KB
收藏 版權(quán)申訴 舉報 下載
病房呼叫系統(tǒng) EDA課程設(shè)計報告書_第1頁
第1頁 / 共8頁
病房呼叫系統(tǒng) EDA課程設(shè)計報告書_第2頁
第2頁 / 共8頁
病房呼叫系統(tǒng) EDA課程設(shè)計報告書_第3頁
第3頁 / 共8頁

下載文檔到電腦,查找使用更方便

10 積分

下載資源

還剩頁未讀,繼續(xù)閱讀

資源描述:

《病房呼叫系統(tǒng) EDA課程設(shè)計報告書》由會員分享,可在線閱讀,更多相關(guān)《病房呼叫系統(tǒng) EDA課程設(shè)計報告書(8頁珍藏版)》請在裝配圖網(wǎng)上搜索。

1、 EDA課程設(shè)計報告書題目: 病房呼叫系統(tǒng) 姓名: 班級: 學(xué)號: 成績: 一、設(shè)計題目及要求題目:病房呼叫系統(tǒng)要求:1用15個開關(guān)模擬5個病房的呼叫輸入信號,1號優(yōu)先級最高;15優(yōu)先級依次降低;2用一個數(shù)碼管顯示呼叫信號的號碼;沒信號呼叫時顯示0;又多個信號呼叫時,顯示優(yōu)先級最高的呼叫號(其它呼叫號用指示燈顯示);3凡有呼叫發(fā)出5秒的呼叫聲;4對低優(yōu)先級的呼叫進(jìn)行存儲,處理完高優(yōu)先級的呼叫,再進(jìn)行低優(yōu)先級呼叫的處理二、設(shè)計過程及內(nèi)容(包括總體設(shè)計的文字描述,即由哪幾個部分構(gòu)成的,各個部分的功能及如何實現(xiàn)方法;主要模塊比較詳盡的文字描述,并配以必要的圖片加以說明,但圖片數(shù)量無需太多)1設(shè)計概括

2、:將設(shè)計分為三個模塊信號存儲模塊(storage),顯示模塊(show)和蜂鳴時間控制模塊(speaker)。信號存儲模塊通過D觸發(fā)器對信號進(jìn)行存儲,用復(fù)位信號對信號進(jìn)行復(fù)位。顯示模塊通過74148優(yōu)先譯碼器對信號進(jìn)行優(yōu)先譯碼,使優(yōu)先級高的譯碼輸出,在BCD七段顯示數(shù)碼管中顯示。時間控制模塊通過用計數(shù)器對蜂鳴器的響鈴時間進(jìn)行控制(5秒)。2模塊分析:(1)信號存儲模塊 用五個D觸發(fā)器實現(xiàn)對輸入信號的存儲,patient1patient5表示一號病房至五號病房的呼叫信號。nurse1nurse5為清零信號,用于對呼叫信號進(jìn)行復(fù)位。開關(guān)及復(fù)位信號都采用低電平有效。當(dāng)patient有低電平輸入時,經(jīng)

3、過一個非門,變?yōu)楦唠娖?,上升沿動作,使D觸發(fā)器工作。因為D接高電平,所以Q輸出高電平。只要未復(fù)位,Q一直輸出為高電平,使信號得以存儲于num1num5輸出端,進(jìn)入show模塊。nurse復(fù)位,使D觸發(fā)器清零,則不再顯示呼叫信號。同時,patient1patient5通過與非門連接構(gòu)成了speaker模塊的信號輸入,只要任意病房呼叫(低電平信號輸入),signal就輸出高電平,信號進(jìn)入speaker模塊。 信號存儲模塊的電路結(jié)構(gòu)圖 仿真波形圖:如圖所示1.5us時patient4有信號輸入num4輸出高電平并保存信號,直到6.0us時nurse4將其復(fù)位。(2)顯示模塊本模塊采用74148和74

4、48共同完成顯示任務(wù),考慮到74148為優(yōu)先編碼器,以低電平有效,且編出的為反碼,所以patient1patient5分別接在了6-2端,本系統(tǒng)中每次要求顯示一個優(yōu)先級高的數(shù)字,所以譯出的反碼可以直接接在7448七段顯示譯碼器中,實現(xiàn)顯示功能。顯示模塊電路結(jié)構(gòu)圖:仿真波形圖:如圖3.5us時num1和num2同時有信號輸入l1和l2亮,七段顯示譯碼器顯示1。(3)蜂鳴器時間控制模塊聲音頻率采用366Hz,響鈴5秒需要366*5=1830個時鐘信號,將其換算為十六進(jìn)制為726H,所以采用三個74161實現(xiàn)計數(shù)功能。當(dāng)有信號輸入時蜂鳴器發(fā)聲,同時計時器開始工作,當(dāng)5秒時,計數(shù)器清零,停止發(fā)聲。時間

5、控制模塊電路圖:仿真波形圖:(4)病房呼叫總系統(tǒng)仿真波形圖:如圖所示1.5us時patient1-patient3同時呼叫l(wèi)1-l3亮七段顯示譯碼器顯示1,蜂鳴報警,報警維持5秒,直到nurse清零顯示譯碼器顯示0。 三、設(shè)計結(jié)論(包括設(shè)計過程中出現(xiàn)的問題;對EDA課程設(shè)計感想、意見和建議)我抽到的課題相對比較簡單吧,但設(shè)計中間也出現(xiàn)了很多問題。起初不知道怎么把信號存儲起來,當(dāng)有多個信號同時呼叫時,顯示優(yōu)先級最高的信號,但是如果不存儲,當(dāng)處理完優(yōu)先級高的信號就不會自動顯示下一個優(yōu)先級信號,想了很久在做類似課題同學(xué)指點下才茅塞頓開。當(dāng)把所有的都設(shè)計完仿真也正確時又出現(xiàn)了問題,實際下載到實驗箱上蜂鳴時間總是不對,有時長有時短,起初以為是缺少仿抖動電路,但使用的開關(guān)不是按鍵的,所以排除了這種可能。自己實在找不出原因,只好請老師幫忙才解決是因為仿真時間設(shè)的太短??偟膩碚f,這個實驗很有意義,在學(xué)習(xí)和實驗中熟悉了比較常用的仿真軟件,也訓(xùn)練了一些電子電路設(shè)計的思維,對我們的動手能力有很大的提高,對于再復(fù)雜的電路也是由一些基礎(chǔ)的元件構(gòu)成的,只要弄懂了每一小步,實現(xiàn)比較難的電路也是可能的。在實驗的過程中,我們進(jìn)一步熟悉了在書本上學(xué)過的時序和組合邏輯電路,所以是獲益匪淺的。8

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!