二十四小時(shí)計(jì)時(shí)器南理工EDAquartus應(yīng)用解讀

上傳人:lis****210 文檔編號(hào):94303279 上傳時(shí)間:2022-05-22 格式:DOCX 頁數(shù):25 大小:812.92KB
收藏 版權(quán)申訴 舉報(bào) 下載
二十四小時(shí)計(jì)時(shí)器南理工EDAquartus應(yīng)用解讀_第1頁
第1頁 / 共25頁
二十四小時(shí)計(jì)時(shí)器南理工EDAquartus應(yīng)用解讀_第2頁
第2頁 / 共25頁
二十四小時(shí)計(jì)時(shí)器南理工EDAquartus應(yīng)用解讀_第3頁
第3頁 / 共25頁

本資源只提供3頁預(yù)覽,全部文檔請(qǐng)下載后查看!喜歡就下載吧,查找使用更方便

20 積分

下載資源

資源描述:

《二十四小時(shí)計(jì)時(shí)器南理工EDAquartus應(yīng)用解讀》由會(huì)員分享,可在線閱讀,更多相關(guān)《二十四小時(shí)計(jì)時(shí)器南理工EDAquartus應(yīng)用解讀(25頁珍藏版)》請(qǐng)?jiān)谘b配圖網(wǎng)上搜索。

1、實(shí)驗(yàn)一二十四小時(shí)數(shù)字計(jì)時(shí)器、實(shí)驗(yàn)內(nèi)容及題目簡(jiǎn)介利用QuartusII軟件設(shè)計(jì)一個(gè)數(shù)字鐘,并下載到SmartSOPC實(shí)驗(yàn)系統(tǒng)中,可以完成00:00:00到23:59:59的計(jì)時(shí)功能,并在控制電路的作用下具有保持、清零、快速校時(shí)、快速校分、整點(diǎn)報(bào)時(shí)等功能。、實(shí)驗(yàn)設(shè)計(jì)要求(1)設(shè)計(jì)基本要求1、能進(jìn)行正常的時(shí)、分、秒計(jì)時(shí)功能;2、3、分別由六個(gè)數(shù)碼管顯示時(shí)分秒的計(jì)時(shí);K1是系統(tǒng)的使能開關(guān)(K仁0正常工作,K1=1時(shí)鐘保持不變);4、5、K2是系統(tǒng)的清零開關(guān)(K2=0正常工作,K3是系統(tǒng)的校分開關(guān)(K3=0正常工作,K2=1時(shí)鐘的分、秒全清零);K3=1時(shí)可以快速校分);6、K4是系統(tǒng)的校時(shí)開關(guān)(K4=

2、0正常工作,K4=1時(shí)可以快速校時(shí));(2)設(shè)計(jì)提高部分要求1、使時(shí)鐘具有整點(diǎn)報(bào)時(shí)功能(當(dāng)時(shí)鐘計(jì)到5953”時(shí)開始報(bào)時(shí),在5953”,5955”,5957”時(shí)報(bào)時(shí)頻率為512Hz,5959”時(shí)報(bào)時(shí)頻率為1KHz,);2、鬧表設(shè)定功能;3、自己添加其他功能;三、方案論證數(shù)字鐘整體框圖如下圖所示本實(shí)驗(yàn)的目的是利用QuartusII軟件設(shè)計(jì)一個(gè)多功能的數(shù)字計(jì)時(shí)器,使該計(jì)時(shí)器具有計(jì)時(shí),顯示,清零,較分,校時(shí)及整點(diǎn)報(bào)時(shí)功能。依據(jù)上述數(shù)字鐘電路結(jié)構(gòu)方框圖可知,秒計(jì)時(shí)器和分計(jì)時(shí)器均為60進(jìn)制,小時(shí)計(jì)時(shí)器是24進(jìn)制計(jì)數(shù)器。當(dāng)秒計(jì)時(shí)器對(duì)1HZ時(shí)鐘脈沖信號(hào)計(jì)數(shù)到60時(shí),產(chǎn)生一個(gè)進(jìn)位脈沖,使分計(jì)時(shí)器的數(shù)值加1,同樣

3、,分計(jì)時(shí)器計(jì)數(shù)到60時(shí),使小時(shí)計(jì)時(shí)器的數(shù)值加一。秒計(jì)數(shù)模塊和分計(jì)數(shù)模塊的核心是模60的計(jì)數(shù)器,時(shí)計(jì)數(shù)模塊的核心為模24的計(jì)數(shù)器,并且采用同步計(jì)數(shù)的方法,即三個(gè)模塊的時(shí)鐘信號(hào)均來自同一個(gè)頻率信號(hào)。當(dāng)數(shù)字鐘走時(shí)出現(xiàn)誤差時(shí),通過校時(shí)電路對(duì)時(shí),分的時(shí)間進(jìn)行校正,其中校時(shí)電路和清零電路只需在原有電路的基礎(chǔ)上采用一定的邏輯門電路實(shí)現(xiàn)。為了防止機(jī)械開關(guān)造成的抖動(dòng),本次實(shí)驗(yàn)我采用D觸發(fā)器來消抖。系統(tǒng)復(fù)位模塊只需要在計(jì)時(shí)模塊的清零輸入端輸入有效信號(hào),即可完成系統(tǒng)復(fù)位功能。譯碼顯示模塊要采用動(dòng)態(tài)譯碼顯示電路。用數(shù)據(jù)選擇器在控制信號(hào)的作用下,選擇輸出秒位、分位或時(shí)位,上面所說的控制信號(hào)是由一個(gè)模6計(jì)數(shù)器產(chǎn)生的信號(hào)。

4、利用一個(gè)譯碼器進(jìn)行數(shù)碼管的位碼控制,輸入的控制信號(hào)同樣為模6計(jì)數(shù)器產(chǎn)生的信號(hào)。為了保證數(shù)字鐘走時(shí)準(zhǔn)確,時(shí)鐘信號(hào)源輸出的信號(hào)頻率需經(jīng)過分頻器分頻,得到1HZ和1KHZ時(shí)鐘信號(hào)。1HZ時(shí)鐘信號(hào)用于計(jì)時(shí),1KHZ寸鐘信號(hào)用于動(dòng)態(tài)掃描譯碼電路。通過分計(jì)時(shí)器和秒計(jì)時(shí)器的引腳在固定時(shí)刻采用邏輯門進(jìn)行邏輯運(yùn)算后驅(qū)動(dòng)蜂鳴器,可實(shí)現(xiàn)整點(diǎn)報(bào)時(shí)功能,引入不同的頻率信號(hào)可改變報(bào)時(shí)聲音的頻率。四、各子模塊設(shè)計(jì)原理與實(shí)現(xiàn)4.1.1脈沖發(fā)生器模塊總體設(shè)計(jì)脈沖發(fā)生器是數(shù)字電子鐘的核心部分,它的精度和穩(wěn)定度直接決定數(shù)字電子鐘的質(zhì)量。本實(shí)驗(yàn)中,實(shí)驗(yàn)操作板只能提供48MHZ的頻率,為保證數(shù)字電子鐘的正常運(yùn)行,我們需要多種頻率的保障

5、:電子鐘正常運(yùn)行時(shí)1HZ的秒脈沖,動(dòng)態(tài)掃描譯碼器時(shí)1KHZ的掃描脈沖,報(bào)時(shí)電路中500HZ的低頻信號(hào)脈沖。這些脈沖的獲得可通過分頻電路對(duì)48MHZ勺脈沖信號(hào)連續(xù)分頻,選取我們所需要的頻率信號(hào)輸入相關(guān)電路即可。具體實(shí)現(xiàn)方法如以下流程圖所示:i48MHz4.1.2分頻子模塊原理圖(1) 先設(shè)計(jì)一個(gè)1M分頻器,利用此分頻器,理論上將得到48Hz的頻率信號(hào),同時(shí)在1000分頻電路輸出端得到48KHZ的頻率信號(hào)。該分頻器的設(shè)計(jì),利用74160計(jì)數(shù)器,74160是具有清零、置數(shù)、計(jì)數(shù)和禁止計(jì)數(shù)(保持)4中功能的集成BCD碼計(jì)數(shù)器。用3個(gè)74160級(jí)聯(lián)可以形成一個(gè)1000計(jì)數(shù)器,即實(shí)現(xiàn)了1000分頻,1M分

6、頻參照1K分頻設(shè)計(jì)。CO3OUTPUTI-1=48kfre封裝后fdiv2fredivinput48kfre48freinst1(2)設(shè)計(jì)第二個(gè)分頻器,該分頻器為一個(gè)模24的計(jì)數(shù)器。模24計(jì)數(shù)器由兩個(gè)74160實(shí)現(xiàn)。仍然以74160為基礎(chǔ)進(jìn)行設(shè)計(jì)。當(dāng)輸入48KHZ和48Hz信號(hào),將得到2KHz和2Hz的頻率信號(hào)VC074160qLDNAVC0X74160CLRXCL0mid_input|”NPUTBQACQBDQCENTQDENPRCOCLRNCLKql【2CLRXCL0XLDNABQACQBDQCENTQDENPRCO-cCLRNCLKinst1COUNTERqh1XinstCOUNTER波

7、形圖如下所示1他Dm0MMO陽他乂皿機(jī)IDD述4S00Mgao.oAl河弋皿TM.BH0u卿證骼0.0e*iiiiriir*fiiij-X一TnTTLnJTTnTTUTTnTTLnJTTnTTLJTTnTTLrLTTnTTLJTTnTTLJTlTnmJTTnrEnnfdivlmid_inputmid_outputinst封裝后最后是一個(gè)二分頻電路的實(shí)現(xiàn),當(dāng)然該分頻器的實(shí)現(xiàn)著實(shí)簡(jiǎn)單,通過數(shù)字電路的學(xué)習(xí)便知只需利用一個(gè)T觸發(fā)器便可組合成一個(gè)二分頻器。其波形圖如下圖所示:兩讓14坤陰述卩皿300.卩帥p跖5如卩廟述卩皿聯(lián).卩皿禳fdiv3twofreinputtwofreoutputinst34.1

8、.3模塊整合總體電路將各分頻子模塊整合為最后的脈沖發(fā)生電路,如圖所示:q5OUTPUTq6q8OUTPl512fr,1kfreOUTPU圭寸裝后計(jì)時(shí)電路是本實(shí)驗(yàn)基礎(chǔ)電路中的關(guān)鍵電路,也是本實(shí)驗(yàn)的核心之所在。由時(shí)計(jì)時(shí)器、分計(jì)時(shí)器、秒計(jì)時(shí)器構(gòu)成。計(jì)時(shí)電路中的計(jì)數(shù)器,可以用74160來實(shí)現(xiàn)。分別設(shè)計(jì)計(jì)時(shí),計(jì)分和計(jì)秒的電路,計(jì)時(shí)為023,計(jì)分及計(jì)秒為059。即,采用模24進(jìn)行計(jì)時(shí),采用模60進(jìn)行計(jì)分和計(jì)秒,在達(dá)到23時(shí)59分59秒時(shí)時(shí)鐘自動(dòng)清零。4.2.2計(jì)時(shí)電路各子模塊設(shè)計(jì)秒計(jì)數(shù)模塊因?yàn)槊胛坏哪?shù)為60,所以使用兩片74160來設(shè)計(jì)模60計(jì)數(shù)器,采用置數(shù)法來使計(jì)數(shù)器歸零。其電路圖如下所示ground

9、LDNdkey_minkey_hrX74160TDNANOR2CLKAND2inst7CLRinstLDNBQACQBDQCENTQDENPRCOCLRNCLKCOUNTERsec_ge0grounc41*secge1判secge2secge3xCLRCLK1DNVABQACQBDQCENTQDENPRCOCLRNCLK74160COUNTERsec_shi0secshi1secshi2sec_shi3*KCLKCLRINPUI1VCCENINPUTVCCkeymin.INPUT1彳VCCkeyhr1uINPUT19VCC仿真波形如下圖所示:LOS.0i:1(17.piI19J7x113ans

10、.jqsii&.pxI21J1x123.79xCLEuirurwwumjrurnjruTUTumurrunurTununLmLrnLnLJTjIlMcu.Xts.lXBXrnX戌x31A0:XLJX13tR】X5,fFtls.Aesb.14X引廠ajXC4i;i;I:j;1:!;j;I:i;i;I:11I分計(jì)數(shù)模塊分計(jì)數(shù)模塊的設(shè)計(jì)與秒計(jì)數(shù)模塊的設(shè)計(jì)思路一致,只是在決定回零的與非門輸入端數(shù)目比秒計(jì)數(shù)模塊的多一個(gè),將其設(shè)置為ET端?,F(xiàn)將其電路圖放置如下:min_ge0minge1min_ge2乂min_ge3KLDNXCLRCLKX74160LDNABQACQBDQCENTCDENIENPQDRC

11、O0CLRNCLKinst2COUNTERmin_shi0minshi1min_shi2min_shi3冥XXK時(shí)計(jì)數(shù)模塊時(shí)計(jì)數(shù)模塊為一個(gè)模24的計(jì)數(shù)器,與設(shè)計(jì)分計(jì)數(shù)模塊的考慮一樣,其與非門的輸入端并不是只有3個(gè)輸入端,還需外加兩個(gè)輸入端,這兩個(gè)輸入端分別為ET1和ET2,它們接收來自秒計(jì)數(shù)模塊和分計(jì)數(shù)模塊的進(jìn)位信號(hào)。NAND4LDNground74160ENXCLRCLKhr_shi1hr_ge1hr_geOETinst3LDN-0LDNABQACQBDQCENTQDENPRCOCCLRNCLKinsthr_ge0hrge1hr_ge2hr_ge3LDNgroundXr社-衣-KL74160

12、LDNABCDQAQBQCCLKENTQDENPRCOCCLRNCLKCOUNTERinst1COUNTERCLKs,impiITINPUT1OUTPUT|、hrshi3.0CLRIvINPUT1fVCCOUTPUT、hr_ge3.0ENu00(93耳48QE西4I苗1jLrLrLrLrLrLrLrLrLrLrLrLrLrLrLrLrLRrLrLrLrLrLrLrLrLrLrLrLrLrLrLTLn_rLrLrLrLn_rLrLrLrclr12-13triZEreinjulktyjirjinnjuuumnnnmiuirmnnnmpjwnnnrmrmwinnjirmuuuinTirmuimoui

13、nmrjinnTUinniiruuuuiITSktyjiin冊(cè)田7治LIl373匸E-4JZXZt51(01XLI&L&iJU百1TH-B1til曲弟|fll4.3報(bào)時(shí)電路數(shù)字鐘59分53秒、59分55秒、59分57秒的報(bào)時(shí)鳴叫頻率是500Hz,在59分59秒的報(bào)時(shí)鳴叫頻率是1KHz要使蜂鳴器在5953、5955、5957時(shí)發(fā)出低聲(頻率約為500Hz);在5959時(shí)發(fā)出高聲(頻率約為1KHz)。蜂鳴器的一端接地,另一端的輸入滿足:H=5953f35955fs5957fs5959二5951(2fs4fs6fs8fq)=59512fs4fs8f4。因此將分十位的Q和Q端、分個(gè)位Q和Q端接到四輸入

14、端與門(“與門1”,秒十位的Q和Q端、秒個(gè)位的Q接到另一個(gè)四輸入端與門(“與門2”,2秒、4秒和8秒分別對(duì)應(yīng)秒個(gè)位的Q、Q和Q,其中f3為500Hz的頻率信號(hào),f4為1KHz的頻率信號(hào)。整點(diǎn)報(bào)時(shí)模塊的電路圖如下所示:m_s2寓msUmg【3譏mg0MAND4instAND2inst2buzzerK512freINPUTnL尸VCC1kfreiINPUT1Csg3.01、inputi/VCCss2-vCCTbuzzerss【0懼ms2i1INPUI1AVCCms0INPUI1VCCm_g3l、INPUT1戶VCC1m_g0Ih.INPUT14Vcc1封裝后如下圖所示:beep512frebuzz

15、er1kfreis_g3.Os_s2s_s0m_s2一m_s0m_g3m_gOinst24.4譯碼顯示電路譯碼顯示電路總體設(shè)計(jì)DIGO8421SLSHMLMHHLHHDIG1abcdefgDIG2DIG3DIG4DIG574138用來選擇其中DIG0DIG5為LED的位碼,ag為LED的段碼。譯碼器哪一位LED顯示,而24選四MUX用來決定所選LED上顯示的內(nèi)容。4.4.1各子功能的實(shí)現(xiàn)設(shè)計(jì)四個(gè)74151八選一數(shù)據(jù)選擇器聯(lián)合構(gòu)成了一個(gè)32選4數(shù)據(jù)選擇器,目的是能夠輪流選擇輸出計(jì)時(shí)器的秒分時(shí)以及秒表信號(hào)共六位數(shù)據(jù)。如下圖p2.0s_g3.0s_s3.0m_g3.0ms3.0h_g3.0h_s3.

16、0INPUTVcCINPUTVCCINPUTVCCINPUTVCCINPUTVCCOUTPUTy3.0圭寸裝后:muxp2.0y3.0S_g3.0s_s3.0m_g3.0m_s3.0h_g3.0h_s3.0(1) inst10設(shè)計(jì)模六計(jì)數(shù)器,其功能使得信號(hào)的頻率大于30Hz,這樣才能保證數(shù)碼管在顯示時(shí)不出現(xiàn)閃爍現(xiàn)象。CP|SinputOUTPUTq2.01/VCCJFJ仿真波形如下圖所示(2) 設(shè)計(jì)7447是將選擇輸出的4位BCD碼轉(zhuǎn)化成對(duì)應(yīng)的數(shù)據(jù)以便可以由單晶體顯示器顯示輸出;74138是一個(gè)譯碼器,用來控制選擇哪一個(gè)顯示器顯示。VCC壬y0y1y2y【3x7447AOABOBCOCDODL

17、TNOERBINOFBINOGRBONinst4BCDTO7SEGseg0seg1JU1seg2-wseg3seg4seg5seg6wA4.4.2模塊整合總體電路本模塊原理為:將1KHZ勺頻率進(jìn)行摸8后所得輸出信號(hào)快速掃描選擇LED顯示的位碼,同時(shí)通過所得的三位信號(hào)的8種組合選擇秒表個(gè)位,秒表十位,秒各位,秒十位,分個(gè)位,分十位以及時(shí)個(gè)位,時(shí)十位輸出。使其在所選定的LED上顯示出來。由于1KHZ勺掃描頻率很快,以及人眼的遲滯效應(yīng),LED顯示基本看不到閃爍。將各子模塊拼接,同時(shí)在顯示電路中加入報(bào)時(shí)電路排列,如下圖所示:muxjishiqicp1clrencps_g3.0clrs_s3.0enm_

18、g3.0key_minm_s3.0key_hrh_g3.02freinputh_s3.0key_minikey_hr2freinputXmg3.0ms3.0hg3.0q2.0s_g3.0s_g3.0s_s3.0m_g3.0m_s3.0h_g3.0h_s3.0inst10inst9cp1INPUTVCCy3.0y3.0cVCCmod6cp2jq2.0CPq2.0inst8beepcp2INPUTVCC512frebuzzer1kfrey0y1y2y3gdGNDq1q2VCCbuzzerX7447q0Ieniy-INPUIVCCIkey_minINPUT/ccIkey_hrI2freinputI1

19、kfreiINPUT1VCCclrINPUTVCCs_g3.0s_g3.0s_s2s_s0m_s0cp21kfrem_g3m_g0s_s0m_s2m_s0m_g3m_g0AOABOBCOCDODLTNOERBINOFBINOGRBONinst4BCDTO7SEG74138Y0NAY1NBY2NCY3NG1Y4NG2ANY5NnG2BNY6NY7Ninst3:8DECODERseg0seg1seg2seg3seg4seg5seg6Kdig0dig1dig2dig3dig4dig5dig6dig7OUTPUT、seg6.0OUTPUT-4、dig7.0OUTPUT|、buzzerinst2其仿真波

20、形如下圖所示1刃2.5T聊m氯呼HI9.Bift1*0cptri1_1111_11111r1_i1_i*Zfrtinputclr153ssaJ:1f如他XLKI.rKi:.t二廠L2sg3.oOUTPUTss3.0OUTPUT|mg3.UOUIPUIms3.0OUTPUTlhg3.uOUTPUT-4hs3.U4.6系統(tǒng)清零和保持功能清零電路的目的是在任一時(shí)刻,能夠隨意的對(duì)時(shí)鐘顯示電路進(jìn)行清零控制。所謂保持電路,就是在開關(guān)作用時(shí),計(jì)數(shù)器計(jì)數(shù)保持;開關(guān)不作用時(shí),計(jì)數(shù)繼續(xù)進(jìn)行。在計(jì)時(shí)模塊的設(shè)計(jì)中,已經(jīng)將使能端與清零端的接口封裝在了整個(gè)模塊中了,作為整個(gè)的計(jì)時(shí)模塊的使能與清零來使用。如下圖所示。至此,

21、數(shù)字鐘的所有模塊均已設(shè)計(jì)完畢,將其一一整合,得下圖整體電路:PIN仃clkfiVsysteminput1kfre2fre512fre1freinst1kfre2fre512fressh3.0Xallssl3.0cp1ssh3.Oseg6.Odig7.0buzzerkeymkey_hxr2fre1kfre512freclearXenableKcp2clrenkey_minkey_hr1PINB10=INCUTIPIN_B9IcleareINCUTIPIN_V9enablein,u,PINU10keym2freinput1kfreinst71kfremiaobiaobuzzerbuzzerg6-0

22、PIND12PINC12PINHI6PINL16PINL14PINF10PIND16PINA18seg6.0dig7.0PINE14PINH151Kto100clk1kclk100100inst2miaobiaomiaobiaoclk100ssl3.0k5ssh3.0inst4ssl3.0.0五、附加功能的實(shí)現(xiàn)與設(shè)想由于本人能力有限,在一周的時(shí)間里只完成了一些較為基本的內(nèi)容,對(duì)于一些提高部分,雖有在實(shí)驗(yàn)期間有所嘗試,只實(shí)現(xiàn)了秒表和星期(一)秒表:秒表是針對(duì)實(shí)驗(yàn)板所做的一個(gè)附加功能。本實(shí)驗(yàn)中,時(shí)分秒三個(gè)計(jì)時(shí)器共使用了六個(gè)LED其余的兩個(gè)可用作秒表計(jì)時(shí)。秒表計(jì)時(shí)單元采用100HZ作為時(shí)鐘輸入,采用

23、兩個(gè)74160級(jí)聯(lián)進(jìn)行模100計(jì)數(shù)即可實(shí)現(xiàn)。當(dāng)秒表單元達(dá)到99時(shí),向秒計(jì)時(shí)器使能端提供一輸入信號(hào),使此使能端有效,在下一脈沖到來時(shí),秒表單元清零同時(shí)向分計(jì)時(shí)器進(jìn)位。這一單元的實(shí)現(xiàn)主要難點(diǎn)在于如何將秒表計(jì)時(shí)與正常的時(shí)鐘計(jì)時(shí)統(tǒng)一起來,在不需要秒表時(shí)正常計(jì)時(shí),而需要時(shí),則進(jìn)行秒表功能。為不影響系統(tǒng)已有功能的前提下,加入了一個(gè)秒表開關(guān)K5,當(dāng)時(shí)鐘電路清零時(shí),將秒表開關(guān)撥至0端,正常計(jì)時(shí),一旦將秒表開關(guān)K5撥至1,則系統(tǒng)進(jìn)入秒表計(jì)時(shí)階段。這一附加功能可以通過一個(gè)選擇器以及若干邏輯門電路實(shí)現(xiàn)。秒表原理圖如下圖所示:k5clk100gd74160ldnABQACQBDQCENTQDENPRCOCLRNCLK

24、instCOUNTERgdssl0*1ssl2ssl374160LDNENTENPCLRNclk100clk100CLKQAQBQCQDRCOinst1COUNTER-INPUTVCCk5iINPUTIVCCOUTPUTOUTPUT.ssl3.0xssh3.0ssh0ssh1ssh2ssh3XVCC(二)星期:星期計(jì)時(shí)關(guān)鍵是實(shí)現(xiàn)一個(gè)模七的計(jì)時(shí)循環(huán),這里利用同步十進(jìn)制計(jì)數(shù)器74160來實(shí)現(xiàn)。星期的跳變需要秒分計(jì)到59并且時(shí)計(jì)到23,即時(shí)的進(jìn)位輸出。原理圖如下:鬧鐘功能由于能力和時(shí)間限制我沒有完成,但是也有相關(guān)的一些設(shè)想,現(xiàn)羅列如下:鬧鐘模塊的設(shè)計(jì)主要需要解決三個(gè)問題,分別是鬧鐘校分校時(shí)的控制,顯

25、示模塊的復(fù)用和鬧鈴在規(guī)定的時(shí)間響起。a)鬧鐘校分校時(shí)的控制以2HZ頻率來校分和校時(shí),原理圖如下:74160gd.V.BQACQBDQCENTQDENFRCOCLRNCLKLDNA-:instCOUNTERL.fQ|Q1.:如工EgdiXX4X-l.1g3|.Xe74160LDNABQACQBDQCENTQDENPROCCLRNCLK.fsD.fs2.審W-0jitisiiHsit-tem-sisr:s-rvaat-gi!Leesi迎IJTPlJtIfe|3.0-F|ir)_網(wǎng)卯:IIhhI1IIhUi.ilINPtJT:T臉.J:-:fgfoifg3XfsfOxzrNAiND4inst4ins

26、tlCOUNTER用開關(guān)K7控制界面,K3和K4控制校分和校時(shí),原理圖如下:.rI-tnib!raaricfenjishiq7Jk7fg3.OAPIfs3.01iso.3.r*::iXk3justi4.inst3jtlBq.q.il4.BiAND2toEinstig:.E|4hia.ahak7.rrr*Mq7$30bihvri-inannicshijishiinet?vrB-ianuv-iv4購就亍“ijaIJIH.MHilidIIJI*!idIh*MBhiKi:iUIKiM!肓I-ut-i尸EKiG-i+4i與#i-Wb)顯示模塊復(fù)用用K7控制選擇器的輸出,當(dāng)K7=0時(shí),輸出的是計(jì)時(shí)器的值,

27、K7=0時(shí)切換至鬧鐘校分校時(shí)界面,原理圖如下:i32xuan16;naozhongjiaoshik3sg3.Oqs$3.Ok4fg【03k7fsO.3.J3:4Xk7g也朮0jcsg3.0.-u-gxfL垃勻n3fgXawLI3A.121xfglY4355xfnfs:*7rfspAnf筑!:X自fsj:*o-HxHoSELA1B1A2Y1B2Y2A3Y3B3Y4MB4GNxfs(d癥xfs2xfs3sthrlhnstaMULTIPLEXERVreuaauridhi-Kauii-iiiiiLIIiLirM+fcbviiLIII:荷nikHnsgT5Yasg|fns”X.asgnsiX?assns

28、g|:上_He74157SELA1B1A2B2A3B3A4B4GNX30I11*xsgpjMv1xag3J八XxagO)Y1Y234kalmk?.nss|x啟誘|nssjw.ass|XT.nss,Aass|:*nssjX*:*r-XHO74157SELA1B1A2B2A3B3MB4GNXSStlf:3(.1.*XSS2八VKY1Y2Y3Y4in3tiMULTIPLEXERII,rrbi,iiiirrriVli|,nst4MULTIPLEXER-fRiiiwff“*顯示模塊的復(fù)用是通過設(shè)計(jì)一個(gè)32選16的選擇器實(shí)現(xiàn)的。由于K7是鬧鐘模式控制開關(guān),當(dāng)K7為0時(shí)選擇正常計(jì)數(shù)輸出給顯示模塊,當(dāng)K7為1

29、時(shí),選擇鬧鐘校時(shí)的輸出給顯示模塊顯示。這樣做的好處是不需要新增顯示模塊,節(jié)約工作量。C)鬧鐘定時(shí)響起計(jì)時(shí)輸出與鬧鐘校分校時(shí)結(jié)果比較:k7fgip.OIkhzCfp.,0fg陰期f$13.Ofs3.OCfe3.Osgp.osgip.O郵.期CgOk3$sip.Ok4Cssfl.OqPliiriiHiiiHriiHiimiHirHi:naobiao比較模塊內(nèi)部電路:aip.,0comp琲.期bl3.0f3p.Oc2|3.,0d23MJ3.O.31PI:XblPIz-atp):5bl(2|:xbtpi-X7485AOBOA1B1A2B2A3B3ALBIAEBIAGBIALBOAEBOAGEO忑回I-

30、rf:無PIV:|1.|M:皿i.c2j-campi.d2|2!*X:7485AOBOA1B1A2B2A3B3ALBIAEBIAGBIALBOAEBOAGBOomp2.M-環(huán)tCOMPARATORiin-eei-isrriErFrin,:Tim74s&【伽i:b3|1.|::wn:漢郵I:z掃面;:3;:Xf33T:AOBOA1B1A2B2A3B3ALBIAEBIAGBIALBOAEBOAGBO:inst2COMPARATOR環(huán)廿COMPARATORi-SBi-iBi!mr-irrrinlhrmi:承imj-7485:H:M|0:i:Xh4(1.|:X:comp3Xh4AODOA1B1A2B2

31、A3B3ALBIAEBIAGBIALBOAEBOAGBOjcomp4.K!in5t3COMPARATORcomp3:.Xjcomp4I;.jcorrip.鬧鐘定時(shí)響起的條件是計(jì)數(shù)時(shí)間與設(shè)定時(shí)間一致,這就需要一個(gè)比較器來實(shí)現(xiàn),比較器的輸出接蜂鳴器,當(dāng)輸入數(shù)據(jù)相等時(shí)比較結(jié)果為高電平,從而驅(qū)動(dòng)蜂鳴器六、系統(tǒng)調(diào)試,仿真,編程下載6.1系統(tǒng)調(diào)試QuartusII可通過層層遞進(jìn)的方式對(duì)所編程序進(jìn)行調(diào)試。在編程完成且確定無誤后,可通過編譯查看程序是否出現(xiàn)編譯錯(cuò)誤,若編譯有錯(cuò)誤,可根據(jù)下方提供的說明有目的的進(jìn)行更正,有效地減少了通篇檢查程序的時(shí)間;若編譯無誤,則可對(duì)所編程序進(jìn)一步進(jìn)行仿真,查看仿真結(jié)果是否與預(yù)

32、期值相同,若出現(xiàn)偏差,則返回原程序調(diào)試查看。若仿真結(jié)果也正確,則可以將程序下載入硬件電路進(jìn)行進(jìn)一步查看調(diào)試。對(duì)于無法進(jìn)行仿真的程序則可在編譯正確之后通過硬件顯示來進(jìn)行相關(guān)調(diào)試。6.2系統(tǒng)仿真在程序?qū)懞貌⑶揖幾g無誤后即可進(jìn)行仿真。要進(jìn)行仿真,首先要輸入波形文件,波形文件的輸入有三種方法,本實(shí)驗(yàn)采用向量波形文件進(jìn)行仿真,仿真過程如下:先將待仿真文件設(shè)為頂層,選擇“File-New”,打開“otherfiles”標(biāo)簽項(xiàng),選中“VectorWaveformFile”,點(diǎn)擊“0K,在跳出圖形的右邊“Name下的空白框里,雙擊鼠標(biāo)左鍵,在跳出的對(duì)話框里。點(diǎn)擊“NodeFinder”按鈕,在“Filter”

33、中選擇“Pins:all”后,點(diǎn)擊“List”按鈕,貝U“NodesFound對(duì)話框中列出了本工程的所有輸入輸出結(jié)點(diǎn)。雙擊“clkin”和“l(fā)ight”,則右邊的“selectednodes”框中了所選的兩個(gè)結(jié)點(diǎn),連續(xù)兩次點(diǎn)擊所出現(xiàn)的“OK,即完成了結(jié)點(diǎn)的添加。選中時(shí)鐘信號(hào)使其變深色后,選擇左邊工具欄的固按鈕在彈出的對(duì)話框中做相應(yīng)設(shè)置。點(diǎn)擊“OK完成。保存波形輸入文件。選擇“Tools-simulatorTool”設(shè)置波形文件的路徑,并作其他相關(guān)設(shè)置,按按鈕進(jìn)行仿真,待仿真完成后,按I按鈕查看波形。本實(shí)驗(yàn)的所有仿真結(jié)果均已在上文中相應(yīng)位置處貼出,這里不再贅述。6.3編程下載在仿真無誤的情況下,

34、將設(shè)計(jì)好的電路下載至實(shí)驗(yàn)箱中,通過硬件來檢驗(yàn)軟件設(shè)計(jì)的正確性。其操作步驟為:選擇“Assignments”“Pins”,在“Location”位置將對(duì)應(yīng)的管腳號(hào)輸入,完成輸入后,通過“Programmer”Start”完成下載和檢驗(yàn)。在經(jīng)過幾次修改之后,最終電路設(shè)計(jì)基本正確,且實(shí)驗(yàn)箱的顯示及對(duì)其的操作均能符合實(shí)驗(yàn)設(shè)計(jì)的基本要求得到引腳安放圖如下所示:AbuzzerOutpjtPJH.F33D6_M015V(default)麗tPWjraJB3WJ.iVfitefajIt)呻IP1N_F1?6a&wo2Ji做觸jltJ磁dg7OutputF1NJ13606w2-5u缺fault)dig7.rQ皿

35、dg網(wǎng)OuxitPIN屮垢60&na2.5/険fault)期OulputPIN1165U5J-.CI15V(default)dg4OutputPTNJM505W2.5/佻fault)dia7.oE*OufeutPINJ)167aj.wa13/虛帥出甸7.*M圍OutputpiNia707rt2,5v(defaultdkl7.DC*dglOutputpinjk707N(JJ.5/險(xiǎn)Hjlt)備?4域Outputpn_Hisfi2.5/default)“旳缺InputPINjJlO4陰JZ.5y(ctefauit)ktyhnputPINJ9aBBMJ2.3/血MltJM刪:QJPJMJ1O7B7W

36、15(defajlt)卩miaobwIrput2-5/(Wait)OutpuL7B7NO15/(defikult)判氐Ouipjtpmjis7B7W2.5i(default)5eg60陶同OutputpmjDiz7H7W2.5V險(xiǎn)閏It)seg60j4sag3OutoutPINJC127B7M015V(defejlt)沏21OutputPTN.F12707MO2-5y佻創(chuàng)町卿風(fēng)qsegiOutoutPINE11707NOseglfio*唧0OutputPIN/W7B?K02.5v(wfajlt)seg&OcnewrMfe七、實(shí)驗(yàn)中所遇到的問題及解決方案在進(jìn)行此次EDA式驗(yàn)之前,我們已經(jīng)做過多功

37、能數(shù)字鐘的硬件實(shí)驗(yàn),對(duì)相關(guān)的器件及原理都不陌生。在正式做實(shí)驗(yàn)前以及實(shí)驗(yàn)中,老師也對(duì)QuartusII軟件的相關(guān)用法,以及SmartSOP(實(shí)驗(yàn)系統(tǒng)的相關(guān)知識(shí)做了介紹。這些都幫助我們較好的入了門,但即使這樣在實(shí)驗(yàn)過程中,依然出現(xiàn)了很多我們始料不及的問題:(1)最先困擾我們的是程序編譯問題。由于沒有真正理解QuartusII軟件中設(shè)置整個(gè)工程架構(gòu)的的意義及重要性。在開始試驗(yàn)階段,每次都對(duì)不同文件進(jìn)行編譯,導(dǎo)致每次都完成的是獨(dú)立的工程,在整合的時(shí)候總會(huì)出現(xiàn)編譯錯(cuò)誤。因?yàn)椴幻髟?,耗費(fèi)了我們很多時(shí)間。通過詢問一些同學(xué),才知道自己并未將待調(diào)試的電路文件設(shè)置為頂層實(shí)體。(2)在進(jìn)行計(jì)時(shí)電路設(shè)計(jì)時(shí),剛開始設(shè)

38、計(jì)的是獨(dú)立的三個(gè)計(jì)時(shí)器,各循環(huán)功能均能滿足,但合到一起則會(huì)出錯(cuò),往往總是會(huì)提前進(jìn)位及清零。在研究電路后發(fā)現(xiàn),它的分計(jì)時(shí)器部分應(yīng)該在59分59秒的時(shí)候向時(shí)計(jì)時(shí)器進(jìn)位而不是僅僅在59分時(shí);同理,時(shí)計(jì)時(shí)器也應(yīng)該是在23時(shí)59分59秒時(shí)同時(shí)清零,而不是在23時(shí)清零??梢娫谠O(shè)計(jì)電路的時(shí)候往往不是簡(jiǎn)單的組合,在進(jìn)行模塊整合的時(shí)候一定要考慮到整體。(3) 鬧鐘模塊之所以不成功是因?yàn)闆]有找到顯示模塊復(fù)用的方法。主要是能力的不足,平時(shí)數(shù)電的基礎(chǔ)沒有打牢,導(dǎo)致做基本電路消耗了大量時(shí)間。再后來發(fā)現(xiàn)可以使用32選16的電路時(shí)已經(jīng)沒有時(shí)間修改電路了。八、實(shí)驗(yàn)收獲與感受短短一周的EDA設(shè)計(jì)雖然很短暫,但卻依然讓我們收獲了

39、很多。在老師的幫助下,我們逐漸掌握了QuartusII軟件的應(yīng)用,了解了整個(gè)設(shè)計(jì)的流程與方式,為以后的科研設(shè)計(jì)工作打好了一個(gè)很好的基礎(chǔ)。讓我們?cè)趯?shí)踐中檢驗(yàn)了自己的所學(xué)。另外,此次試驗(yàn)最重要的便是鍛煉了自己在設(shè)計(jì)系統(tǒng)時(shí)建立的模塊化思想。如今電路的復(fù)雜程度已不是個(gè)人能夠全盤掌握的程度,必須要分開設(shè)計(jì)讓后整合,雖然這次實(shí)驗(yàn)只是做了一個(gè)小工程,但是期間的模塊化思想將對(duì)我們?nèi)蘸蟮墓ぷ鳟a(chǎn)生長足的幫助。從最初的預(yù)習(xí),實(shí)驗(yàn),再到后來的實(shí)驗(yàn)報(bào)告,每一個(gè)過程都在考驗(yàn)著我們的綜合素質(zhì)。在整個(gè)實(shí)驗(yàn)的過程中,老師耐心講解,大家互相幫助,積極交流學(xué)習(xí)心得與體會(huì),在這一過程中,解決了很多自己一人無法完成的問題,同時(shí)在幫助別人解決問題的同時(shí)自身得到了提高。最后,特別感謝我的指導(dǎo)老師,在整個(gè)設(shè)計(jì)過程中對(duì)我們耐心的指導(dǎo)與幫助。九、參考文獻(xiàn)1. 數(shù)字邏輯電路與系統(tǒng)設(shè)計(jì)蔣立平主編姜萍譚雪琴花漢兵編電子工業(yè)出版社20092. EDA技術(shù)與實(shí)驗(yàn)付文紅花漢兵編著機(jī)械工業(yè)出版社2007

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!