EDA課程設(shè)計 數(shù)字時鐘(鬧鐘)

上傳人:油** 文檔編號:49664648 上傳時間:2022-01-18 格式:DOC 頁數(shù):12 大?。?0KB
收藏 版權(quán)申訴 舉報 下載
EDA課程設(shè)計 數(shù)字時鐘(鬧鐘)_第1頁
第1頁 / 共12頁
EDA課程設(shè)計 數(shù)字時鐘(鬧鐘)_第2頁
第2頁 / 共12頁
EDA課程設(shè)計 數(shù)字時鐘(鬧鐘)_第3頁
第3頁 / 共12頁

下載文檔到電腦,查找使用更方便

10 積分

下載資源

還剩頁未讀,繼續(xù)閱讀

資源描述:

《EDA課程設(shè)計 數(shù)字時鐘(鬧鐘)》由會員分享,可在線閱讀,更多相關(guān)《EDA課程設(shè)計 數(shù)字時鐘(鬧鐘)(12頁珍藏版)》請在裝配圖網(wǎng)上搜索。

1、精品文檔,僅供學(xué)習(xí)與交流,如有侵權(quán)請聯(lián)系網(wǎng)站刪除課 程 設(shè) 計 說 明 書題目: 鬧鐘 學(xué)院(系):年級專業(yè):學(xué) 號:學(xué)生姓名:指導(dǎo)教師:教師職稱:目 錄第1章 摘要1第2章 設(shè)計方案 2.1 VHDL簡介 2.2 設(shè)計思路第3章 模塊介紹第4章 Verilog HDL設(shè)計源程序第5章 波形仿真圖第6章 管腳鎖定及硬件連線心得體會 17參考文獻 18第一章 摘要 在當(dāng)今社會,數(shù)字電路產(chǎn)品的應(yīng)用在我們的實際生活中顯得越來越重要,與我們的生活聯(lián)系愈加緊密,例如計算機、儀表、電子鐘等等,使我們的生活工作較以前的方式更加方便、完善,帶來了很多的益處。 在此次EDA課程,我的設(shè)計課題是鬧鐘,使用VHDL

2、語言進行編程完成。報告書主要由設(shè)計方案、模塊介紹、設(shè)計源程序、仿真波形圖和管腳鎖定及硬件連線四部分組成。設(shè)計方案主要介紹了我對于設(shè)計課題的大致設(shè)計思路,之后各個部分將會詳細(xì)介紹設(shè)計組成及程序。第二章 設(shè)計方案2.1 VHDL簡介數(shù)字電路主要是基于兩個信號(我們可以簡單的說是有電壓和無電壓),用數(shù)字信號完成對數(shù)字量進行算術(shù)運算和邏輯運算的電路我們稱之為數(shù)字電路,它具有邏輯運算和邏輯處理等功能,數(shù)字電路可分為組合邏輯電路和時序邏輯電路。EDA技術(shù),就是以大規(guī)??删幊踢壿嬈骷樵O(shè)計載體,以硬件描述語言為系統(tǒng)邏輯描述的主要表達方式,以計算機、大規(guī)??删幊踢壿嬈骷拈_發(fā)軟件及實驗開發(fā)系統(tǒng)為設(shè)計工具,通過

3、有關(guān)的開發(fā)軟件,自動完成用軟件的方式設(shè)計的電子系統(tǒng)到硬件系統(tǒng)的邏輯編譯、邏輯化簡、邏輯分割、邏輯綜合及優(yōu)化、邏輯布局布線、邏輯仿真,直至完成對于特定目標(biāo)芯片的適配編譯、邏輯映射、編程下載等工作,最終形成集成電子系統(tǒng)或?qū)S眉尚酒囊婚T新技術(shù)。利用EDA技術(shù)進行電子系統(tǒng)的設(shè)計,具有以下幾個特點: 用軟件的方式設(shè)計硬件; 用軟件方式設(shè)計的系統(tǒng)到硬件系統(tǒng)的轉(zhuǎn)換是由有關(guān)的開發(fā)軟件自動完成的; 設(shè)計過程中可用有關(guān)軟件進行各種仿真; 系統(tǒng)可現(xiàn)場編程,在線升級; 整個系統(tǒng)可集成在一個芯片上,體積小、功耗低、可靠性高。因此,EDA技術(shù)是現(xiàn)代電子設(shè)計的發(fā)展趨勢。EDA技術(shù)伴隨著計算機、集成電路、電子系統(tǒng)設(shè)計的發(fā)

4、展,經(jīng)歷了計算機輔助設(shè)計(Computer Assist Design,簡稱CAD)、計算機輔助工程設(shè)計(Computer Assist Engineering Design,簡稱CAE)和電子設(shè)計自動化(Electronic Design Automation,簡稱EDA)三個發(fā)展階段。常用的硬件描述語言有VHDL、Verilog、ABEL。EDA技術(shù)是隨著集成電路和計算機技術(shù)的飛速發(fā)展應(yīng)運而生的一種高級、快速、有效的電子設(shè)計自動化工具。它是為解決自動控制系統(tǒng)設(shè)計而提出的,從70年代經(jīng)歷了計算機輔助設(shè)計(CAD),計算機輔助工程(CAE),電子系統(tǒng)設(shè)計自動化(ESDA)3個階段。前兩個階段的

5、EDA產(chǎn)品都只是個別或部分的解決了電子產(chǎn)品設(shè)計中的工程問題;第三代EDA工具根據(jù)工程設(shè)計中的瓶頸和矛盾對設(shè)計數(shù)據(jù)庫實現(xiàn)了統(tǒng)一管理,并提出了并行設(shè)計環(huán)境概念,提供了獨立于工藝和廠家的系統(tǒng)級的設(shè)計工具。EDA關(guān)鍵技術(shù)之一就是采用硬件描述語言對硬件電路進行描述,且具有系統(tǒng)級仿真和綜合能力。目前應(yīng)用比較廣泛的硬件描述語言就是Verilog HDL。2 Verilog HDL簡介Verilog HDL是目前大規(guī)模集成電路設(shè)計中最具代表性、使用最廣泛的硬件描述語言之一。具有如下特點:(1) 能夠在不同的抽象層次上,如系統(tǒng)級、行為級、RTL級、門級和開關(guān)級,對設(shè)計系統(tǒng)進行精確而簡練的描述。(2)能夠在每個抽

6、象層次的描述上對設(shè)計進行仿真驗證,及時發(fā)現(xiàn)及時發(fā)現(xiàn)可能存在的錯誤,縮短設(shè)計周期,并保存整個設(shè)計過程的正確性。(3)由于代碼描述與工藝過程實現(xiàn)無關(guān),便于設(shè)計標(biāo)準(zhǔn)化,提高設(shè)計的可重用性。如國有C語言的編程基礎(chǔ)經(jīng)驗,只需很短的時間就能學(xué)會和掌握Verilog HDL,因此,Verilog HDL可以作為學(xué)習(xí)HDL設(shè)計方法的入門和基礎(chǔ)。2.2 設(shè)計思路一、設(shè)計要求1、設(shè)計簡易的一分鐘鬧鐘;2、可手動輸入定時時間(059s),如30s;3、兩個動態(tài)數(shù)碼管上跟蹤顯示時間的變化:如30,29,28到了指定時間蜂鳴器發(fā)出5s的提示音;4、采用2個動態(tài)數(shù)碼管顯示時間;5、用蜂鳴器發(fā)出提示音;6、撥碼開關(guān)設(shè)置定時

7、時間。二、設(shè)計思路根據(jù)上述的設(shè)計要求,整個系統(tǒng)大致包括如下幾個組成部分:它包括以下幾個組成部分:1) 顯示屏,由2個七段動態(tài)數(shù)碼管組成,用于顯示當(dāng)前設(shè)置的鬧鐘時間并進行跟蹤顯示;2)6個撥碼開關(guān),用于輸入鬧鐘時間;3)復(fù)位鍵,確定新的鬧鐘時間設(shè)置,或顯示已設(shè)置的鬧鐘時間;4)蜂鳴器,在當(dāng)前時鐘時間與鬧鐘時間相同時,發(fā)出報警聲。第三章 模塊介紹一、計時模塊此模塊共有6個撥碼開關(guān)作為輸入信號,當(dāng)開關(guān)無輸入時,都處于低電平狀態(tài),D5、D4、D3、D2、D1和D0是并行數(shù)據(jù)輸入端,CRN是異步復(fù)位輸入端,LDN是預(yù)置控制輸入端。當(dāng)開關(guān)有輸入時,會產(chǎn)生一個六位的二進制輸出信號num,此信號表示動作的開關(guān)

8、序號,它是作為動態(tài)顯示模塊的輸入信號。二、數(shù)碼顯示模塊這個模塊有兩個輸入信號和兩個輸出信號。其中一個是信號輸入模塊的輸出num作為輸入,另外一個是時鐘輸入端,作為掃描數(shù)碼管的頻率信號,采用1024HZ的中高頻信號。輸出信號為SS0、SS1、SS2,是動態(tài)數(shù)碼管的片選段。三、報警模塊報警模塊共有兩個輸入信號ET和CLK1,一個輸出信號COUT。當(dāng)從信號輸入模塊檢測到有開關(guān)輸入時,ET信號已置1,CLK上升沿到來時,程序?qū)OUT置1,蜂鳴器發(fā)出時間為10s的報警信號,時間到達后,跳出循環(huán),蜂鳴器停止報警。四、頂層模塊頂層模塊的作用是將各個模塊組合到一起,從而實現(xiàn)最終的功能。其輸入即為各個模塊的輸

9、入,一個時鐘信號,還有6個撥碼開關(guān)的輸入,其輸出為數(shù)碼管顯示和報警器。第四章Verilog HDL設(shè)計源程序一、計時模塊module counter(LDN,D5,D4,D3,D2,D1,D0,CLK,CRN,Q,OC);input LDN,D5,D4,D3,D2,D1,D0,CLK,CRN;output5:0 Q;output OC;reg OC;reg5:0 Q;reg5:0 Q_TEMP;reg3:0 NUM;always(posedge CLK or negedge CRN)begin if(CRN) Q_TEMP=6b000000;else if(LDN) beginQ_TEMP=D

10、5,D4,D3,D2,D1,D0;NUM=4b0000;endelse if(Q_TEMP6b000000) beginif(NUM=4b0000)NUM=NUM+1;else NUM=4b0001;if(NUM=4b1000)Q_TEMP=Q_TEMP-1;endelse Q_TEMP=6b000000;endalwaysbegin if(Q_TEMP=6b000000&LDN) OC=1b1;else OC=1b0;Q=Q_TEMP;endendmodule二、數(shù)碼顯示模塊module showtime(A,Q,CLKM,SS0,SS1,SS2);input5:0 A;input CLKM

11、;output6:0 Q;output SS0,SS1,SS2;reg6:0 Q;reg SS0,SS1,SS2;reg M;reg5:0 B;reg5:0 C;always(posedge CLKM)beginM=M+1;endalwaysbeginif(Ab001001&Ab10011&Ab11101&Ab100111&Ab110001&A=b111011)beginB=A-50;C=5;endelse if(A=b111100)beginB=0;C=6;endif(M=b1)beginSS0=1;SS1=0;SS2=0;case(B)b000000:Q=b0111111;b000001:

12、Q=b0000110;b000010:Q=b1011011;b000011:Q=b1001111;b000100:Q=b1100110;b000101:Q=b1101101;b000110:Q=b1111101;b000111:Q=b0000111;b001000:Q=b1111111;b001001:Q=b1101111;default:Q=b0111111;endcaseendelse if(M=b0)beginSS0=0;SS1=0;SS2=0;case(C)b000000:Q=b0111111;b000001:Q=b0000110;b000010:Q=b1011011;b000011:

13、Q=b1001111;b000100:Q=b1100110;b000101:Q=b1101101;b000110:Q=b1111101;default:Q=b0111111;endcaseendendendmodule三、報警模塊module speaker(CLK1,ET,COUT);input CLK1,ET;output COUT;reg COUT;reg5:0 TEMP;always(posedge CLK1)begin if(ET) TEMP=6b000000;else if(TEMP=6b000000) TEMP=TEMP+1;else TEMP=6b101001;endalway

14、sbeginif(TEMPchip=timer;Input Pin=75CLKMchip=timer:Input Pin=83CLK1 chip=timer;Input Pin=85COUT chip=timer;Output Pin=38CRN chip=timer;Input Pin=39DO chip=timer;Input Pin=53D1 chip=timer;Input Pin=47D2 chip=timer;Input Pin=46D3 chip=timer;Input Pin=45D4 chip=timer;Input Pin=44D5 chip=timer;Input Pin

15、=41LDN chip=timer;Input Pin=40LED0 chip=timer;Output Pin=173LED1 chip=timer;Output Pin=174LED2 chip=timer;Output Pin=175LED3 chip=timer;Output Pin=176LED4 chip=timer;Output Pin=177LED5 chip=timer;Output Pin=179LED6 chip=timer;Output Pin=187SSO chip=timer;Output Pin=191SS1 chip=timer;Output Pin=192SS

16、2 chip=timer;Output Pin=193引線說明:撥碼開關(guān)使用數(shù)字開關(guān)組A,需外接引線。心得體會通過這次設(shè)計,初步對EDA有一個了解。出于沒有學(xué)習(xí)過這門課程,所以再課程設(shè)計開始時對于EDA就是一無所知。課程設(shè)計之初是做了一個簡單的培訓(xùn),關(guān)于軟件和硬件的設(shè)計,算是入門訓(xùn)練吧。通過前兩天的課程講解,我對其有了初步的了解。之后就開始按照指導(dǎo)書中的例題就行練習(xí),仿真,有進一步的掌握后就開始了自己的課程設(shè)計。由于我C+程序設(shè)計知識不扎實,所以導(dǎo)致這次軟件設(shè)計困難重重,也讓我明白了在這個領(lǐng)域知識的串聯(lián)是非常普遍的,學(xué)好學(xué)扎實是我們必須要做到的要求。 通過這次課程設(shè)計使我懂得了理論與實際相結(jié)合是很重要的,只有把所學(xué)的理論知識與實踐相結(jié)合起來,從理論中得出結(jié)論,才能提高自己的實際動手能力。在設(shè)計的過程中,可以說得是困難重重,但這畢竟第一次做,同時在設(shè)計的過程中發(fā)現(xiàn)了自己的不足之處。 在此還需要感謝鄭兆兆老師和呂宏詩老師耐心的指導(dǎo)與幫助,使課設(shè)能夠更加順利的完成。參考文獻1、 閻石主編. 數(shù)字電子技術(shù)基礎(chǔ)(第五版).高等教等育出版社.2006.5,168-175頁 ;2、 李國麗、朱維勇、何劍春主編 EDA與數(shù)字系統(tǒng)設(shè)計(第2版).機械工業(yè)出版社.2009.3,105-146頁【精品文檔】第 12 頁

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!