歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

EDA課程設(shè)計(jì) 數(shù)字時(shí)鐘(鬧鐘)

  • 資源ID:49664648       資源大?。?span id="saxack5" class="font-tahoma">40KB        全文頁數(shù):12頁
  • 資源格式: DOC        下載積分:10積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要10積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說明有答案則都視為沒有答案,請(qǐng)知曉。

EDA課程設(shè)計(jì) 數(shù)字時(shí)鐘(鬧鐘)

精品文檔,僅供學(xué)習(xí)與交流,如有侵權(quán)請(qǐng)聯(lián)系網(wǎng)站刪除課 程 設(shè) 計(jì) 說 明 書題目: 鬧鐘 學(xué)院(系):年級(jí)專業(yè):學(xué) 號(hào):學(xué)生姓名:指導(dǎo)教師:教師職稱:目 錄第1章 摘要1第2章 設(shè)計(jì)方案 2.1 VHDL簡介 2.2 設(shè)計(jì)思路第3章 模塊介紹第4章 Verilog HDL設(shè)計(jì)源程序第5章 波形仿真圖第6章 管腳鎖定及硬件連線心得體會(huì) 17參考文獻(xiàn) 18第一章 摘要 在當(dāng)今社會(huì),數(shù)字電路產(chǎn)品的應(yīng)用在我們的實(shí)際生活中顯得越來越重要,與我們的生活聯(lián)系愈加緊密,例如計(jì)算機(jī)、儀表、電子鐘等等,使我們的生活工作較以前的方式更加方便、完善,帶來了很多的益處。 在此次EDA課程,我的設(shè)計(jì)課題是鬧鐘,使用VHDL語言進(jìn)行編程完成。報(bào)告書主要由設(shè)計(jì)方案、模塊介紹、設(shè)計(jì)源程序、仿真波形圖和管腳鎖定及硬件連線四部分組成。設(shè)計(jì)方案主要介紹了我對(duì)于設(shè)計(jì)課題的大致設(shè)計(jì)思路,之后各個(gè)部分將會(huì)詳細(xì)介紹設(shè)計(jì)組成及程序。第二章 設(shè)計(jì)方案§2.1 VHDL簡介數(shù)字電路主要是基于兩個(gè)信號(hào)(我們可以簡單的說是有電壓和無電壓),用數(shù)字信號(hào)完成對(duì)數(shù)字量進(jìn)行算術(shù)運(yùn)算和邏輯運(yùn)算的電路我們稱之為數(shù)字電路,它具有邏輯運(yùn)算和邏輯處理等功能,數(shù)字電路可分為組合邏輯電路和時(shí)序邏輯電路。EDA技術(shù),就是以大規(guī)??删幊踢壿嬈骷樵O(shè)計(jì)載體,以硬件描述語言為系統(tǒng)邏輯描述的主要表達(dá)方式,以計(jì)算機(jī)、大規(guī)??删幊踢壿嬈骷拈_發(fā)軟件及實(shí)驗(yàn)開發(fā)系統(tǒng)為設(shè)計(jì)工具,通過有關(guān)的開發(fā)軟件,自動(dòng)完成用軟件的方式設(shè)計(jì)的電子系統(tǒng)到硬件系統(tǒng)的邏輯編譯、邏輯化簡、邏輯分割、邏輯綜合及優(yōu)化、邏輯布局布線、邏輯仿真,直至完成對(duì)于特定目標(biāo)芯片的適配編譯、邏輯映射、編程下載等工作,最終形成集成電子系統(tǒng)或?qū)S眉尚酒囊婚T新技術(shù)。利用EDA技術(shù)進(jìn)行電子系統(tǒng)的設(shè)計(jì),具有以下幾個(gè)特點(diǎn): 用軟件的方式設(shè)計(jì)硬件; 用軟件方式設(shè)計(jì)的系統(tǒng)到硬件系統(tǒng)的轉(zhuǎn)換是由有關(guān)的開發(fā)軟件自動(dòng)完成的; 設(shè)計(jì)過程中可用有關(guān)軟件進(jìn)行各種仿真; 系統(tǒng)可現(xiàn)場編程,在線升級(jí); 整個(gè)系統(tǒng)可集成在一個(gè)芯片上,體積小、功耗低、可靠性高。因此,EDA技術(shù)是現(xiàn)代電子設(shè)計(jì)的發(fā)展趨勢。EDA技術(shù)伴隨著計(jì)算機(jī)、集成電路、電子系統(tǒng)設(shè)計(jì)的發(fā)展,經(jīng)歷了計(jì)算機(jī)輔助設(shè)計(jì)(Computer Assist Design,簡稱CAD)、計(jì)算機(jī)輔助工程設(shè)計(jì)(Computer Assist Engineering Design,簡稱CAE)和電子設(shè)計(jì)自動(dòng)化(Electronic Design Automation,簡稱EDA)三個(gè)發(fā)展階段。常用的硬件描述語言有VHDL、Verilog、ABEL。EDA技術(shù)是隨著集成電路和計(jì)算機(jī)技術(shù)的飛速發(fā)展應(yīng)運(yùn)而生的一種高級(jí)、快速、有效的電子設(shè)計(jì)自動(dòng)化工具。它是為解決自動(dòng)控制系統(tǒng)設(shè)計(jì)而提出的,從70年代經(jīng)歷了計(jì)算機(jī)輔助設(shè)計(jì)(CAD),計(jì)算機(jī)輔助工程(CAE),電子系統(tǒng)設(shè)計(jì)自動(dòng)化(ESDA)3個(gè)階段。前兩個(gè)階段的EDA產(chǎn)品都只是個(gè)別或部分的解決了電子產(chǎn)品設(shè)計(jì)中的工程問題;第三代EDA工具根據(jù)工程設(shè)計(jì)中的瓶頸和矛盾對(duì)設(shè)計(jì)數(shù)據(jù)庫實(shí)現(xiàn)了統(tǒng)一管理,并提出了并行設(shè)計(jì)環(huán)境概念,提供了獨(dú)立于工藝和廠家的系統(tǒng)級(jí)的設(shè)計(jì)工具。EDA關(guān)鍵技術(shù)之一就是采用硬件描述語言對(duì)硬件電路進(jìn)行描述,且具有系統(tǒng)級(jí)仿真和綜合能力。目前應(yīng)用比較廣泛的硬件描述語言就是Verilog HDL。2 Verilog HDL簡介Verilog HDL是目前大規(guī)模集成電路設(shè)計(jì)中最具代表性、使用最廣泛的硬件描述語言之一。具有如下特點(diǎn):(1) 能夠在不同的抽象層次上,如系統(tǒng)級(jí)、行為級(jí)、RTL級(jí)、門級(jí)和開關(guān)級(jí),對(duì)設(shè)計(jì)系統(tǒng)進(jìn)行精確而簡練的描述。(2)能夠在每個(gè)抽象層次的描述上對(duì)設(shè)計(jì)進(jìn)行仿真驗(yàn)證,及時(shí)發(fā)現(xiàn)及時(shí)發(fā)現(xiàn)可能存在的錯(cuò)誤,縮短設(shè)計(jì)周期,并保存整個(gè)設(shè)計(jì)過程的正確性。(3)由于代碼描述與工藝過程實(shí)現(xiàn)無關(guān),便于設(shè)計(jì)標(biāo)準(zhǔn)化,提高設(shè)計(jì)的可重用性。如國有C語言的編程基礎(chǔ)經(jīng)驗(yàn),只需很短的時(shí)間就能學(xué)會(huì)和掌握Verilog HDL,因此,Verilog HDL可以作為學(xué)習(xí)HDL設(shè)計(jì)方法的入門和基礎(chǔ)。§2.2 設(shè)計(jì)思路一、設(shè)計(jì)要求1、設(shè)計(jì)簡易的一分鐘鬧鐘;2、可手動(dòng)輸入定時(shí)時(shí)間(059s),如30s;3、兩個(gè)動(dòng)態(tài)數(shù)碼管上跟蹤顯示時(shí)間的變化:如30,29,28到了指定時(shí)間蜂鳴器發(fā)出5s的提示音;4、采用2個(gè)動(dòng)態(tài)數(shù)碼管顯示時(shí)間;5、用蜂鳴器發(fā)出提示音;6、撥碼開關(guān)設(shè)置定時(shí)時(shí)間。二、設(shè)計(jì)思路根據(jù)上述的設(shè)計(jì)要求,整個(gè)系統(tǒng)大致包括如下幾個(gè)組成部分:它包括以下幾個(gè)組成部分:1) 顯示屏,由2個(gè)七段動(dòng)態(tài)數(shù)碼管組成,用于顯示當(dāng)前設(shè)置的鬧鐘時(shí)間并進(jìn)行跟蹤顯示;2)6個(gè)撥碼開關(guān),用于輸入鬧鐘時(shí)間;3)復(fù)位鍵,確定新的鬧鐘時(shí)間設(shè)置,或顯示已設(shè)置的鬧鐘時(shí)間;4)蜂鳴器,在當(dāng)前時(shí)鐘時(shí)間與鬧鐘時(shí)間相同時(shí),發(fā)出報(bào)警聲。第三章 模塊介紹一、計(jì)時(shí)模塊此模塊共有6個(gè)撥碼開關(guān)作為輸入信號(hào),當(dāng)開關(guān)無輸入時(shí),都處于低電平狀態(tài),D5、D4、D3、D2、D1和D0是并行數(shù)據(jù)輸入端,CRN是異步復(fù)位輸入端,LDN是預(yù)置控制輸入端。當(dāng)開關(guān)有輸入時(shí),會(huì)產(chǎn)生一個(gè)六位的二進(jìn)制輸出信號(hào)num,此信號(hào)表示動(dòng)作的開關(guān)序號(hào),它是作為動(dòng)態(tài)顯示模塊的輸入信號(hào)。二、數(shù)碼顯示模塊這個(gè)模塊有兩個(gè)輸入信號(hào)和兩個(gè)輸出信號(hào)。其中一個(gè)是信號(hào)輸入模塊的輸出num作為輸入,另外一個(gè)是時(shí)鐘輸入端,作為掃描數(shù)碼管的頻率信號(hào),采用1024HZ的中高頻信號(hào)。輸出信號(hào)為SS0、SS1、SS2,是動(dòng)態(tài)數(shù)碼管的片選段。三、報(bào)警模塊報(bào)警模塊共有兩個(gè)輸入信號(hào)ET和CLK1,一個(gè)輸出信號(hào)COUT。當(dāng)從信號(hào)輸入模塊檢測到有開關(guān)輸入時(shí),ET信號(hào)已置1,CLK上升沿到來時(shí),程序?qū)OUT置1,蜂鳴器發(fā)出時(shí)間為10s的報(bào)警信號(hào),時(shí)間到達(dá)后,跳出循環(huán),蜂鳴器停止報(bào)警。四、頂層模塊頂層模塊的作用是將各個(gè)模塊組合到一起,從而實(shí)現(xiàn)最終的功能。其輸入即為各個(gè)模塊的輸入,一個(gè)時(shí)鐘信號(hào),還有6個(gè)撥碼開關(guān)的輸入,其輸出為數(shù)碼管顯示和報(bào)警器。第四章Verilog HDL設(shè)計(jì)源程序一、計(jì)時(shí)模塊module counter(LDN,D5,D4,D3,D2,D1,D0,CLK,CRN,Q,OC);input LDN,D5,D4,D3,D2,D1,D0,CLK,CRN;output5:0 Q;output OC;reg OC;reg5:0 Q;reg5:0 Q_TEMP;reg3:0 NUM;always(posedge CLK or negedge CRN)begin if(CRN) Q_TEMP=6'b000000;else if(LDN) beginQ_TEMP=D5,D4,D3,D2,D1,D0;NUM=4'b0000;endelse if(Q_TEMP<6'b111100&&Q_TEMP>6'b000000) beginif(NUM<4'b1000&&NUM>=4'b0000)NUM=NUM+1;else NUM=4'b0001;if(NUM=4'b1000)Q_TEMP=Q_TEMP-1;endelse Q_TEMP=6'b000000;endalwaysbegin if(Q_TEMP=6'b000000&&LDN) OC=1'b1;else OC=1'b0;Q=Q_TEMP;endendmodule二、數(shù)碼顯示模塊module showtime(A,Q,CLKM,SS0,SS1,SS2);input5:0 A;input CLKM;output6:0 Q;output SS0,SS1,SS2;reg6:0 Q;reg SS0,SS1,SS2;reg M;reg5:0 B;reg5:0 C;always(posedge CLKM)beginM=M+1;endalwaysbeginif(A<='b001001)beginB=A;C=0;endelse if(A>'b001001&&A<='b10011)beginB=A-10;C=1;endelse if(A>'b10011&&A<='b11101)beginB=A-20;C=2;endelse if(A>'b11101&&A<='b100111)beginB=A-30;C=3;endelse if(A>'b100111&&A<='b110001)beginB=A-40;C=4;endelse if(A>'b110001&&A<='b111011)beginB=A-50;C=5;endelse if(A='b111100)beginB=0;C=6;endif(M='b1)beginSS0=1;SS1=0;SS2=0;case(B)'b000000:Q='b0111111;'b000001:Q='b0000110;'b000010:Q='b1011011;'b000011:Q='b1001111;'b000100:Q='b1100110;'b000101:Q='b1101101;'b000110:Q='b1111101;'b000111:Q='b0000111;'b001000:Q='b1111111;'b001001:Q='b1101111;default:Q='b0111111;endcaseendelse if(M='b0)beginSS0=0;SS1=0;SS2=0;case(C)'b000000:Q='b0111111;'b000001:Q='b0000110;'b000010:Q='b1011011;'b000011:Q='b1001111;'b000100:Q='b1100110;'b000101:Q='b1101101;'b000110:Q='b1111101;default:Q='b0111111;endcaseendendendmodule三、報(bào)警模塊module speaker(CLK1,ET,COUT);input CLK1,ET;output COUT;reg COUT;reg5:0 TEMP;always(posedge CLK1)begin if(ET) TEMP=6'b000000;else if(TEMP<6'b101001&&TEMP>=6'b000000) TEMP=TEMP+1;else TEMP=6'b101001;endalwaysbeginif(TEMP<6'b101001&&TEMP!=6'b000000) COUT=1'b1;else COUT=1'b0;endendmodule四、頂層模塊module timer(LDN,D5,D4,D3,D2,D1,D0,CLK,CRN,CLK1,COUT,LED,SS0,SS1,SS2,CLKM);input LDN,D5,D4,D3,D2,D1,D0,CLK,CRN,CLK1,CLKM;output6:0 LED;output COUT,SS0,SS1,SS2; wire X6;wire5:0 X;counter u1(.LDN(LDN),.D5(D5),.D4(D4),.D3(D3),.D2(D2),.D1(D1),.D0(D0),.CLK(CLK),.CRN(CRN),.Q(X5:0),.OC(X6);showtime u2(.A(X5:0),.Q(LED6:0),.CLKM(CLKM),.SS0(SS0),.SS1(SS1),.SS2(SS2);speaker u3(.CLK1(CLK1),.ET(X6),.COUT(COUT);endmodule第五章 波形仿真圖一、計(jì)時(shí)模塊波形仿真圖二、報(bào)警模塊波形仿真圖三、頂層模塊波形仿真圖第六章 管腳鎖定及硬件連線一、管腳鎖定CLK>chip=timer;Input Pin=75CLKM>chip=timer:Input Pin=83CLK1> chip=timer;Input Pin=85COUT> chip=timer;Output Pin=38CRN> chip=timer;Input Pin=39DO> chip=timer;Input Pin=53D1> chip=timer;Input Pin=47D2> chip=timer;Input Pin=46D3> chip=timer;Input Pin=45D4> chip=timer;Input Pin=44D5> chip=timer;Input Pin=41LDN> chip=timer;Input Pin=40LED0> chip=timer;Output Pin=173LED1> chip=timer;Output Pin=174LED2> chip=timer;Output Pin=175LED3> chip=timer;Output Pin=176LED4> chip=timer;Output Pin=177LED5> chip=timer;Output Pin=179LED6> chip=timer;Output Pin=187SSO> chip=timer;Output Pin=191SS1> chip=timer;Output Pin=192SS2> chip=timer;Output Pin=193引線說明:撥碼開關(guān)使用數(shù)字開關(guān)組A,需外接引線。心得體會(huì)通過這次設(shè)計(jì),初步對(duì)EDA有一個(gè)了解。出于沒有學(xué)習(xí)過這門課程,所以再課程設(shè)計(jì)開始時(shí)對(duì)于EDA就是一無所知。課程設(shè)計(jì)之初是做了一個(gè)簡單的培訓(xùn),關(guān)于軟件和硬件的設(shè)計(jì),算是入門訓(xùn)練吧。通過前兩天的課程講解,我對(duì)其有了初步的了解。之后就開始按照指導(dǎo)書中的例題就行練習(xí),仿真,有進(jìn)一步的掌握后就開始了自己的課程設(shè)計(jì)。由于我C+程序設(shè)計(jì)知識(shí)不扎實(shí),所以導(dǎo)致這次軟件設(shè)計(jì)困難重重,也讓我明白了在這個(gè)領(lǐng)域知識(shí)的串聯(lián)是非常普遍的,學(xué)好學(xué)扎實(shí)是我們必須要做到的要求。 通過這次課程設(shè)計(jì)使我懂得了理論與實(shí)際相結(jié)合是很重要的,只有把所學(xué)的理論知識(shí)與實(shí)踐相結(jié)合起來,從理論中得出結(jié)論,才能提高自己的實(shí)際動(dòng)手能力。在設(shè)計(jì)的過程中,可以說得是困難重重,但這畢竟第一次做,同時(shí)在設(shè)計(jì)的過程中發(fā)現(xiàn)了自己的不足之處。 在此還需要感謝鄭兆兆老師和呂宏詩老師耐心的指導(dǎo)與幫助,使課設(shè)能夠更加順利的完成。參考文獻(xiàn)1、 閻石主編. 數(shù)字電子技術(shù)基礎(chǔ)(第五版).高等教等育出版社.2006.5,168-175頁 ;2、 李國麗、朱維勇、何劍春主編 EDA與數(shù)字系統(tǒng)設(shè)計(jì)(第2版).機(jī)械工業(yè)出版社.2009.3,105-146頁【精品文檔】第 12 頁

注意事項(xiàng)

本文(EDA課程設(shè)計(jì) 數(shù)字時(shí)鐘(鬧鐘))為本站會(huì)員(油**)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!