計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)—第一章(基本概念)

上傳人:y****3 文檔編號:29765977 上傳時(shí)間:2021-10-08 格式:PPT 頁數(shù):142 大小:2.12MB
收藏 版權(quán)申訴 舉報(bào) 下載
計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)—第一章(基本概念)_第1頁
第1頁 / 共142頁
計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)—第一章(基本概念)_第2頁
第2頁 / 共142頁
計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)—第一章(基本概念)_第3頁
第3頁 / 共142頁

下載文檔到電腦,查找使用更方便

30 積分

下載資源

還剩頁未讀,繼續(xù)閱讀

資源描述:

《計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)—第一章(基本概念)》由會(huì)員分享,可在線閱讀,更多相關(guān)《計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)—第一章(基本概念)(142頁珍藏版)》請?jiān)谘b配圖網(wǎng)上搜索。

1、計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)的基本概念 引言 計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)的定義 計(jì)算機(jī)系統(tǒng)的設(shè)計(jì)技術(shù) 計(jì)算機(jī)系統(tǒng)的評價(jià)標(biāo)準(zhǔn) 計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)的發(fā)展 計(jì)算機(jī)系統(tǒng)的分類引 言 本章內(nèi)容 自第一臺電子計(jì)算機(jī)問世已經(jīng)半個(gè)多世紀(jì)了,它已經(jīng)歷了五次更新?lián)Q代: 第一代計(jì)算機(jī)(第一代計(jì)算機(jī)(19451954) 第二代計(jì)算機(jī)(第二代計(jì)算機(jī)(19551964) 第三代計(jì)算機(jī)(第三代計(jì)算機(jī)(19651974) 第四代計(jì)算機(jī)(第四代計(jì)算機(jī)(19751991) 第五代計(jì)算機(jī)(第五代計(jì)算機(jī)(1992現(xiàn)在)現(xiàn)在)第一代計(jì)算機(jī)本章內(nèi)容引言 將電子管和繼電器存儲(chǔ)器用絕緣導(dǎo)線互連起來,單個(gè)CPU,CPU用程序計(jì)數(shù)器和累加器順序完成定點(diǎn)運(yùn)算,采用機(jī)器語言或匯

2、編語言,用CPU程序控制I/O。代表性系統(tǒng)有:John Von Neumann、Arthur Burks和Herman Goldstine于1946年在普林斯頓大學(xué)研制成功的IAS計(jì)算機(jī);賓夕法尼亞大學(xué)莫爾學(xué)院于1950年制成的ENIAC;IBM于1953年制造的IBM701計(jì)算機(jī)。第二代計(jì)算機(jī)本章內(nèi)容引言 采用分立式晶體三極管、二極管和鐵氧體的磁芯,用印刷電路將它們互連起來。采用了變址寄存器、浮點(diǎn)運(yùn)算、多路存儲(chǔ)器和I/O處理機(jī)。采用有編譯程序的高級語言、子程序庫、批處理監(jiān)控程序。代表性系統(tǒng)有:1959年制成的Univac LARC、60年代的CDC1604和1962年制成的IBM7030。第

3、三代計(jì)算機(jī)本章內(nèi)容引言 采用小規(guī)?;蛑幸?guī)模集成電路和多層印刷電路。微程序控制在這一代開始普及。采用了流水線、高速緩存和先行處理機(jī)。軟件方面采用多道程序設(shè)計(jì)和分時(shí)操作系統(tǒng)。代表性系統(tǒng)有:IBM 360/370系列、CDC 6600/7600系列、Texas儀表公司的ASC和Digital Equipment公司的PDP-8系列。第四代計(jì)算機(jī)本章內(nèi)容引言 采用大規(guī)?;虺笠?guī)模集成電路和半導(dǎo)體存儲(chǔ)器,出現(xiàn)了用共享存儲(chǔ)器、分布式存儲(chǔ)器或向量硬件選擇的不同結(jié)構(gòu)的并行計(jì)算機(jī),開發(fā)了用于并行處理的多處理操作系統(tǒng)、專用語言和編譯器,同時(shí)產(chǎn)生了用于并行處理或分布處理的軟件工具和環(huán)境。代表性系統(tǒng)有:VAX9000

4、、CrayX-MP、IBM 3090VF和BBNTC-2000等。第五代計(jì)算機(jī)本章內(nèi)容引言 采用VLSI工藝更加完善的高密度、高速度處理機(jī)和存儲(chǔ)器芯片。它最重要特點(diǎn)是進(jìn)行大規(guī)模并行處理,采用可擴(kuò)展的和容許時(shí)延的系統(tǒng)結(jié)構(gòu)。代表性系統(tǒng)有:Fujitsu的VPP500、Cray Research的MPP、Thinking Machines公司的CM-5、Intel超級計(jì)算機(jī)系統(tǒng)Paragon、SGI的 Origin 2000和Sun公司的1000服務(wù)器。分 析 本章內(nèi)容 從計(jì)算機(jī)的發(fā)展過程,我們可以看出:計(jì)算機(jī)系統(tǒng)性能的不斷提高主要靠和。 如何最合理地利用新器件,最大限度地發(fā)揮其潛力,設(shè)計(jì)并構(gòu)成綜合

5、性能指標(biāo)最佳的計(jì)算機(jī)系統(tǒng),單純依靠器件變革是不能解決的,還要靠計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)上的改進(jìn)。4 之 1示 例 以微處理器為例(圖示)。在20世紀(jì)80年代中期以前,性能的提高主要是工藝技術(shù)驅(qū)動(dòng)的,平均以每年25%的速度提高。此后到2002年,性能的提高主要得益于工藝技術(shù)和先進(jìn)的系統(tǒng)結(jié)構(gòu)設(shè)計(jì)思想,該增長率達(dá)到了52%。2002年后,由于在電源、可用的指令級并行和存儲(chǔ)器長時(shí)延等限制,使單處理器性能的提升減緩到22%。本章內(nèi)容4 之 24 之 3引 出 本章內(nèi)容 本課程的目的是: 讓我們趕快開始吧!4 之 4計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)的定義本章內(nèi)容 計(jì)算機(jī)系統(tǒng)的層次結(jié)構(gòu) 計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)的概念 計(jì)算機(jī)組成的概念 計(jì)算機(jī)實(shí)

6、現(xiàn)的概念計(jì)算機(jī)系統(tǒng)層次結(jié)構(gòu)圖本章內(nèi)容定義應(yīng)用語言級應(yīng)用語言級高級語言級高級語言級匯編語言級匯編語言級操作系統(tǒng)級操作系統(tǒng)級傳統(tǒng)機(jī)器級傳統(tǒng)機(jī)器級微程序機(jī)器級微程序機(jī)器級電子線路電子線路L5虛擬機(jī)虛擬機(jī)L4虛擬機(jī)虛擬機(jī)L3虛擬機(jī)虛擬機(jī)L2虛擬機(jī)虛擬機(jī)翻譯(應(yīng)用程序包)翻譯(應(yīng)用程序包)翻譯(編譯程序)翻譯(編譯程序)翻譯(匯編程序)翻譯(匯編程序)軟硬件交界面軟硬件交界面物理機(jī)器物理機(jī)器解釋解釋硬件直接執(zhí)行硬件直接執(zhí)行硬件硬件固件固件系統(tǒng)系統(tǒng)軟件軟件虛擬機(jī)器虛擬機(jī)器實(shí)際機(jī)器實(shí)際機(jī)器應(yīng)用應(yīng)用軟件軟件部分解釋部分解釋11 之 1應(yīng)用語言級機(jī)器本章內(nèi)容定義層次結(jié)構(gòu)圖應(yīng)用語言應(yīng)用語言應(yīng)用程序應(yīng)用程序用戶用戶

7、信息處理信息處理11 之 2高級語言級機(jī)器本章內(nèi)容定義層次結(jié)構(gòu)圖高級語言高級語言解釋或編譯解釋或編譯高級語言高級語言程序員程序員運(yùn)行程序運(yùn)行程序11 之 3匯編語言級機(jī)器本章內(nèi)容定義層次結(jié)構(gòu)圖匯編語言匯編語言匯編程序匯編程序匯編語言匯編語言程序員程序員運(yùn)行程序運(yùn)行程序11 之 4操作系統(tǒng)級機(jī)器本章內(nèi)容定義層次結(jié)構(gòu)圖傳統(tǒng)機(jī)器級指令傳統(tǒng)機(jī)器級指令操作系統(tǒng)級指令操作系統(tǒng)級指令操作系統(tǒng)操作系統(tǒng)操作員操作員系統(tǒng)資源系統(tǒng)資源11 之 5傳統(tǒng)機(jī)器本章內(nèi)容定義層次結(jié)構(gòu)圖機(jī)器指令機(jī)器指令系統(tǒng)系統(tǒng)CPU機(jī)器語言機(jī)器語言程序員程序員機(jī)器程序機(jī)器程序11 之 6微程序機(jī)器本章內(nèi)容定義層次結(jié)構(gòu)圖微指令系統(tǒng)微指令系統(tǒng)微程

8、序控制微程序控制邏輯邏輯設(shè)計(jì)員設(shè)計(jì)員寄存器寄存器傳送門傳送門11 之 7電子線路本章內(nèi)容定義層次結(jié)構(gòu)圖硬操作時(shí)序硬操作時(shí)序硬聯(lián)邏輯硬聯(lián)邏輯硬件維護(hù)硬件維護(hù)員員/設(shè)計(jì)員設(shè)計(jì)員邏輯線路邏輯線路內(nèi)核內(nèi)核11 之 8機(jī)器的作用和含義 是指能執(zhí)行和存儲(chǔ)程序的算法和數(shù)據(jù)結(jié)構(gòu)的集合體。是指算法和數(shù)據(jù)結(jié)構(gòu)的實(shí)現(xiàn)方法,可以硬件/固件/軟件實(shí)現(xiàn)。我們將由軟件實(shí)現(xiàn)的機(jī)器稱為,將由硬件/固件實(shí)現(xiàn)的機(jī)器稱為。本章內(nèi)容定義11 之 9 從計(jì)算機(jī)系統(tǒng)的某一層使用者的角度看,從計(jì)算機(jī)系統(tǒng)的某一層使用者的角度看,只需通過該層的語言就可以使用機(jī)器,而不必只需通過該層的語言就可以使用機(jī)器,而不必關(guān)心其下層的機(jī)器是如何工作和如何實(shí)現(xiàn)

9、各自關(guān)心其下層的機(jī)器是如何工作和如何實(shí)現(xiàn)各自功能的,這一性質(zhì)稱為功能的,這一性質(zhì)稱為。軟件與硬件的關(guān)系本章內(nèi)容定義v 系統(tǒng)是由硬件和軟件組成的v 軟、硬件功能在邏輯上是等價(jià)的v 軟件和硬件的分界面是動(dòng)態(tài)變化的 提高解題速度提高解題速度 減少存儲(chǔ)量減少存儲(chǔ)量 硬件成本高硬件成本高 降低硬件利用率、系統(tǒng)靈降低硬件利用率、系統(tǒng)靈活性和適應(yīng)性活性和適應(yīng)性 降低硬件造價(jià)降低硬件造價(jià) 提高系統(tǒng)靈活性和適應(yīng)性提高系統(tǒng)靈活性和適應(yīng)性 降低解題速度降低解題速度 增加系統(tǒng)存儲(chǔ)量增加系統(tǒng)存儲(chǔ)量 增加軟件設(shè)計(jì)費(fèi)增加軟件設(shè)計(jì)費(fèi)硬件軟件過去現(xiàn)在將來時(shí)間硬件比率100%0%11 之 10分層的目的本章內(nèi)容定義 有利于正確地

10、理解計(jì)算機(jī)系統(tǒng)的工作,明確軟件、硬件和固件在計(jì)算機(jī)系統(tǒng)中的地位和作用; 有利于理解各種語言的實(shí)質(zhì)及其實(shí)現(xiàn); 有利于探索虛擬機(jī)器新的實(shí)現(xiàn)方法,設(shè)計(jì)新的計(jì)算機(jī)系統(tǒng)。11 之 11計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)的概念本章內(nèi)容定義 “計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)”的含義仍有多種說法,并無統(tǒng)一的定義,下面介紹兩種: 定義一定義一 定義二定義二4 之 1定義一本章內(nèi)容定義概念(Amdahl, 1964)v 是指計(jì)算機(jī)系統(tǒng)中所有部件之間的邏輯連接結(jié)構(gòu)。是指計(jì)算機(jī)系統(tǒng)中所有部件之間的邏輯連接結(jié)構(gòu)。 主要是指計(jì)算機(jī)系統(tǒng)的如下功能:數(shù)據(jù)表示、尋址方主要是指計(jì)算機(jī)系統(tǒng)的如下功能:數(shù)據(jù)表示、尋址方式、寄存器組織、指令系統(tǒng)、存儲(chǔ)系統(tǒng)、中斷機(jī)構(gòu)、式、

11、寄存器組織、指令系統(tǒng)、存儲(chǔ)系統(tǒng)、中斷機(jī)構(gòu)、I/OI/O結(jié)構(gòu)等。結(jié)構(gòu)等。哎!未指明程序員的級別4 之 2定義二本章內(nèi)容定義概念 即:計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)主要研究軟硬件功能分配和對軟硬件界面的確定。明確多了!傳統(tǒng)機(jī)器級嘛!4 之 3主要任務(wù)指硬件能直接識別和處理的數(shù)據(jù)類型和格式等包括最小尋址單位、尋址方式的種類、表示和地址計(jì)算等包括操作數(shù)寄存器、變址寄存器、控制寄存器和某些專用寄存器的定義、數(shù)量和使用約定包括機(jī)器指令的操作類型和格式,指令間的排序方式和控制機(jī)構(gòu)等包括最小編址單位、編址方式、主存容量、最大可編址空間等 包括中斷類型、中斷分級、中斷處理程序的功能和入口地址等 包括I/O的連接/訪問方式、數(shù)據(jù)

12、的源和目的、數(shù)據(jù)傳送量、操作的結(jié)束和出錯(cuò)指示等 包括保護(hù)方式、硬件對信息保護(hù)的支持本章內(nèi)容定義概念4 之 4計(jì)算機(jī)組成的概念本章內(nèi)容定義數(shù)據(jù)通路寬度數(shù)據(jù)通路寬度專用部件的設(shè)置專用部件的設(shè)置各種操作對部件的共享程度各種操作對部件的共享程度功能部件的并行度功能部件的并行度控制機(jī)構(gòu)的組成方式控制機(jī)構(gòu)的組成方式緩沖和排隊(duì)緩沖和排隊(duì)容錯(cuò)技術(shù)容錯(cuò)技術(shù)預(yù)測和評估預(yù)測和評估計(jì)算機(jī)實(shí)現(xiàn)的概念本章內(nèi)容定義專用芯片(ASIC)的設(shè)計(jì)處理機(jī)、Cache和主存的物理結(jié)構(gòu)器件、模塊、插件和底板的邏輯劃分和連接信號傳輸電源與冷卻微組裝和整機(jī)組裝技術(shù)小 結(jié)本章內(nèi)容定義 計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)研究計(jì)算機(jī)系統(tǒng)的軟、硬件功能分配和軟、硬件

13、界面的確定;計(jì)算機(jī)組成是計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)的邏輯實(shí)現(xiàn);計(jì)算機(jī)實(shí)現(xiàn)是計(jì)算機(jī)組成的物理實(shí)現(xiàn)。 具有相同系統(tǒng)結(jié)構(gòu)的計(jì)算機(jī)可以因?yàn)樗俣鹊纫蛩氐囊蠖捎貌煌慕M成;一種計(jì)算機(jī)的組成可以采用多種不同的計(jì)算機(jī)實(shí)現(xiàn)。 系統(tǒng)結(jié)構(gòu)、組成和實(shí)現(xiàn)所包含的具體內(nèi)容隨不同時(shí)期及不同的計(jì)算機(jī)系統(tǒng)會(huì)有不同,而且隨著技術(shù)、器件和應(yīng)用的發(fā)展,三者之間的界限越來越模糊。計(jì)算機(jī)系統(tǒng)的設(shè)計(jì)技術(shù) 計(jì)算機(jī)系統(tǒng)設(shè)計(jì)者的任務(wù) 計(jì)算機(jī)系統(tǒng)的設(shè)計(jì)方法 計(jì)算機(jī)系統(tǒng)的設(shè)計(jì)步驟 計(jì)算機(jī)系統(tǒng)設(shè)計(jì)的定量準(zhǔn)則本章內(nèi)容計(jì)算機(jī)系統(tǒng)設(shè)計(jì)者的任務(wù)本章內(nèi)容設(shè)計(jì)技術(shù) 即:計(jì)算機(jī)設(shè)計(jì)者必須設(shè)計(jì)出一臺既能滿足功能要求,又能達(dá)到價(jià)格和性能目標(biāo)的計(jì)算機(jī)。 主要任務(wù)有:確定用戶要求

14、確定用戶要求優(yōu)化設(shè)計(jì)優(yōu)化設(shè)計(jì)設(shè)計(jì)出符合今后發(fā)展方向的系統(tǒng)結(jié)構(gòu)設(shè)計(jì)出符合今后發(fā)展方向的系統(tǒng)結(jié)構(gòu)確定用戶要求本章內(nèi)容設(shè)計(jì)技術(shù)任務(wù) 確定用戶對計(jì)算機(jī)系統(tǒng)的功能、價(jià)格和性能的要求。 例如:具體的功能要求參見后表。優(yōu)化設(shè)計(jì)本章內(nèi)容設(shè)計(jì)技術(shù)任務(wù) 最優(yōu)設(shè)計(jì)方案的選擇通常依賴于價(jià)格和性能(后面介紹)。設(shè)計(jì)者在處理價(jià)格和性能之間的關(guān)系時(shí)通常有三種不同的方法: 例如:超級計(jì)算機(jī)。 例如:嵌入式系統(tǒng)。 例如:大多數(shù)的PC、工作站、服務(wù)器。設(shè)計(jì)出符合今后發(fā)展方向的系統(tǒng)結(jié)構(gòu)本章內(nèi)容設(shè)計(jì)技術(shù)任務(wù) 一個(gè)成功的系統(tǒng)結(jié)構(gòu)應(yīng)該能經(jīng)得住軟、硬件技術(shù)的發(fā)展和應(yīng)用的變化,因此設(shè)計(jì)者必須特別注意計(jì)算機(jī)應(yīng)用和計(jì)算機(jī)技術(shù)的發(fā)展趨勢,這樣才能

15、延長一種機(jī)器的使用壽命。 計(jì)算機(jī)系統(tǒng)的設(shè)計(jì)方法本章內(nèi)容設(shè)計(jì)技術(shù) 由上而下法 由下而上法 由中間向兩頭法由上而下法本章內(nèi)容設(shè)計(jì)技術(shù)設(shè)計(jì)方法 確定應(yīng)用的基本特性 設(shè)計(jì)或選擇面向該應(yīng)用的高級語言 設(shè)計(jì)適用于所選高級語言編譯的中間語言 設(shè)計(jì)面向該應(yīng)用的操作系統(tǒng) 設(shè)計(jì)面向所用編譯器和操作系統(tǒng)的機(jī)器語言 設(shè)計(jì)面向機(jī)器語言的微指令及其硬件實(shí)現(xiàn) 適用于面向某一應(yīng)用的專用機(jī)的設(shè)計(jì) 設(shè)計(jì)周期較長(需若干年) 由于技術(shù)發(fā)展很快,因此難以真正面向用戶優(yōu)化實(shí)現(xiàn)由下而上法本章內(nèi)容設(shè)計(jì)技術(shù)設(shè)計(jì)方法不考慮應(yīng)用,參照現(xiàn)有機(jī)器特點(diǎn)設(shè)計(jì)微程序機(jī)器級和傳統(tǒng)機(jī)器級選擇面向不同應(yīng)用的多種操作系統(tǒng)和編譯器20世紀(jì)6070年代較多采用的通用

16、機(jī)的設(shè)計(jì)方法因硬件不可更改,所以軟件設(shè)計(jì)被動(dòng)系統(tǒng)的某些性能指標(biāo)不確切,如傳統(tǒng)機(jī)器級的“每秒運(yùn)算次數(shù)”由中間向兩頭法本章內(nèi)容設(shè)計(jì)技術(shù)設(shè)計(jì)方法確定軟、硬件界面軟件設(shè)計(jì)人員設(shè)計(jì)軟件,硬件設(shè)計(jì)人員設(shè)計(jì)硬件(同時(shí))20世紀(jì)60年代末開始采用的通用機(jī)設(shè)計(jì)方法設(shè)計(jì)周期短交互式設(shè)計(jì),便于提高系統(tǒng)性能要求設(shè)計(jì)人員具有軟件、硬件、器件和應(yīng)用等方面的知識需要完善的硬件系統(tǒng)模擬環(huán)境和軟件設(shè)計(jì)環(huán)境計(jì)算機(jī)系統(tǒng)的設(shè)計(jì)步驟 系統(tǒng)應(yīng)用環(huán)境:實(shí)時(shí)處理、事務(wù)處理、科學(xué)計(jì)算、遠(yuǎn)程處理 所用語言的種類和特性 對操作系統(tǒng)的特殊要求 所用外設(shè)的特性 技術(shù)經(jīng)濟(jì)分析 市場分析 設(shè)計(jì)準(zhǔn)則 功能說明 器件性能說明 確定機(jī)器級界面 定義機(jī)器級界面的

17、內(nèi)容,可提供多種方案 提高系統(tǒng)的性能價(jià)格比本章內(nèi)容設(shè)計(jì)技術(shù)計(jì)算機(jī)系統(tǒng)設(shè)計(jì)的定量準(zhǔn)則本章內(nèi)容設(shè)計(jì)技術(shù) 加快經(jīng)常性事件的速度 CPU性能公式 局部性原理 利用并行性加快經(jīng)常性事件的速度本章內(nèi)容設(shè)計(jì)技術(shù)定量準(zhǔn)則 。這是計(jì)算機(jī)設(shè)計(jì)中最重要也最廣泛采用的設(shè)計(jì)準(zhǔn)則。 :加法運(yùn)算中的溢出和不溢出;中斷處理中的常規(guī)性保護(hù)現(xiàn)場和特殊性保護(hù)現(xiàn)場。4 之 1Amdahl定律本章內(nèi)容設(shè)計(jì)技術(shù)定量準(zhǔn)則 計(jì)算機(jī)系統(tǒng)中某一部件由于采用某種更快的執(zhí)行方式后整個(gè)系統(tǒng)性能的提高與這種執(zhí)行方式的使用頻率或占總執(zhí)行時(shí)間的比例有關(guān)。 4 之 2Amdahl定律(量化)本章內(nèi)容設(shè)計(jì)技術(shù)定量準(zhǔn)則整個(gè)系統(tǒng)的加速比改進(jìn)前整個(gè)任務(wù)的執(zhí)行時(shí)間改進(jìn)

18、后整個(gè)任務(wù)的執(zhí)行時(shí)間增強(qiáng)比例 增強(qiáng)加速比eeennSFFTTS)1 (10時(shí)間改進(jìn)后改進(jìn)部分的執(zhí)行時(shí)間改進(jìn)前改進(jìn)部分的執(zhí)行時(shí)間改進(jìn)前整個(gè)任務(wù)的執(zhí)行可改進(jìn)部分占用的時(shí)間eeSF4 之 3Amdahl定律(例子)本章內(nèi)容設(shè)計(jì)技術(shù)定量準(zhǔn)則假設(shè)某測試程序中FP指令執(zhí)行時(shí)間占50%,F(xiàn)PSQR指令占20%,用改進(jìn)FPSQR指令速度為原來的10倍和改進(jìn)FP指令速度為原來的2倍,哪種方案更好?:Fe=0.2, Se=10 ,根據(jù)Amdahl定律,加速比為: :Fe=0.5, Se=2 ,根據(jù)Amdahl定律,加速比為: :33.175.0125 .0)5 .01(1nS22.182.01102 .0)2 .

19、01(1nS4 之 4CPU性能公式本章內(nèi)容設(shè)計(jì)技術(shù)定量準(zhǔn)則 公式一 公式二 公式三6 之 1公式一本章內(nèi)容設(shè)計(jì)技術(shù)定量準(zhǔn)則CPU性能公式 一個(gè)程序的CPU時(shí)間可以通過下式表達(dá): 時(shí)鐘周期長度時(shí)鐘周期數(shù)一個(gè)程序的時(shí)間CPUCPU時(shí)鐘頻率時(shí)鐘周期數(shù)一個(gè)程序的時(shí)間CPUCPU 或: 簡單明了,沒有什么實(shí)用價(jià)值 6 之 2公式二本章內(nèi)容設(shè)計(jì)技術(shù)定量準(zhǔn)則CPU性能公式 一個(gè)程序的CPU時(shí)間可以通過下式表達(dá): 時(shí)鐘周期長度時(shí)間CPIICCPU其中:其中:為一個(gè)程序的指令總數(shù),為一個(gè)程序的指令總數(shù),為執(zhí)行一為執(zhí)行一條指令所需的平均時(shí)鐘周期數(shù)。條指令所需的平均時(shí)鐘周期數(shù)。 CPU時(shí)間與3個(gè)因素有關(guān):時(shí)鐘周期

20、長度、IC和CPI6 之 3公式三本章內(nèi)容設(shè)計(jì)技術(shù)定量準(zhǔn)則CPU性能公式 一個(gè)程序的CPU時(shí)間可以通過下式表達(dá): 其中:其中:為指令為指令i在一個(gè)程序中的執(zhí)行次數(shù),在一個(gè)程序中的執(zhí)行次數(shù),n為為指令總數(shù),指令總數(shù),為執(zhí)行指令為執(zhí)行指令i所需的平均時(shí)所需的平均時(shí)鐘周期數(shù)。鐘周期數(shù)。 時(shí)鐘周期長度時(shí)間)(1niiiICCPICPUniiiniiiICICPIICICPICPI11)()(6 之 4CPU性能公式(例子)本章內(nèi)容設(shè)計(jì)技術(shù)定量準(zhǔn)則假設(shè)某測試程序中FP指令(包括FPSQR)的執(zhí)行頻度為25%,F(xiàn)P指令的平均CPI=4.0,其它指令的平均CPI=1.33; FPSQR指令的執(zhí)行頻度為2%,

21、 FPSQR指令的平均CPI=20。假設(shè)有兩種設(shè)計(jì)方案:一種是將FPSQR的CPI減為2,另一種是將所有FP的CPI減為2.5,試?yán)肅PU性能公式比較這兩種設(shè)計(jì)方案。原系統(tǒng)的CPI為:0 . 2%75*33. 1%254)(1niiiICICPICPI原系統(tǒng)6 之 5CPU性能公式(例子)本章內(nèi)容設(shè)計(jì)技術(shù)定量準(zhǔn)則:將FPSQR的CPI減為2:將所有FP的CPI或:64. 1)220(%20 . 2%21)(新老原系統(tǒng)方案FPSQRFPSQRCPICPICPICPI625. 1)5 . 24(%250 . 2%252)(新老原系統(tǒng)方案FPFPCPICPICPICPI625. 1%255 . 2

22、%7533. 1)(12niiiICICPICPI方案6 之 6局部性原理本章內(nèi)容設(shè)計(jì)技術(shù)定量準(zhǔn)則 程序執(zhí)行中呈現(xiàn)出頻繁重復(fù)使用那些最近已使用過的數(shù)據(jù)和指令的規(guī)律。這反映在時(shí)間局部性和空間局部性上。 近期被訪問的信息,可能馬上被訪問。 與被訪問地址相鄰的地址上的信息可能會(huì)一起被訪問。利用并行性本章內(nèi)容設(shè)計(jì)技術(shù)定量準(zhǔn)則 并行性的概念 并行性的實(shí)現(xiàn) 并行性的等級 并行性的發(fā)展并行性的概念本章內(nèi)容設(shè)計(jì)技術(shù)定量準(zhǔn)則利用并行性 我們將問題中具有可以同時(shí)進(jìn)行運(yùn)算或操作的特性稱為并行性。并行性實(shí)際上包含和兩重含義: 是指兩個(gè)或多個(gè)事件在同一時(shí)刻發(fā)生,例如:流水。 是指兩個(gè)或多個(gè)事件在同一時(shí)間間隔內(nèi)發(fā)生,例如

23、:分時(shí)操作。ABCt1Dt2并行性的實(shí)現(xiàn)本章內(nèi)容設(shè)計(jì)技術(shù)定量準(zhǔn)則利用并行性 時(shí)間重疊 資源重復(fù) 資源共享時(shí)間重疊本章內(nèi)容設(shè)計(jì)技術(shù)定量準(zhǔn)則利用并行性并行性的實(shí)現(xiàn) 讓多個(gè)處理過程在時(shí)間上相互錯(cuò)開,輪流重疊地使用同一套硬件設(shè)備的各個(gè)部分,以加快硬件周轉(zhuǎn)而提高速度。 指令流水線。 不需要增加硬件設(shè)備就可以提高計(jì)算機(jī)系統(tǒng)的性能價(jià)格比。t取指取指分析分析執(zhí)行執(zhí)行(a) 指令流水線指令流水線kkkk+1k+1k+1k+2k+2k+2部件部件執(zhí)行執(zhí)行分析分析取指取指t2t 3t 4t 5t(b) 時(shí)空圖時(shí)空圖資源重復(fù)本章內(nèi)容設(shè)計(jì)技術(shù)定量準(zhǔn)則利用并行性并行性的實(shí)現(xiàn) 通過重復(fù)設(shè)置資源(硬件、軟件、信息、時(shí)間)來提

24、高可靠性或性能。 N模冗余結(jié)構(gòu)提高可靠性;多值存儲(chǔ)器提高信息存儲(chǔ)密度;多處理機(jī)提高速度和可靠性。 需要增加資源才能大幅度提高計(jì)算機(jī)系統(tǒng)的性能。資源共享本章內(nèi)容設(shè)計(jì)技術(shù)定量準(zhǔn)則利用并行性并行性的實(shí)現(xiàn) 利用軟件的方法讓多個(gè)用戶按一定的時(shí)間順序輪流地使用同一套資源,以提高其利用率,從而提高整個(gè)系統(tǒng)的性能。 多道程序分時(shí)系統(tǒng) 。 不需要增加硬件設(shè)備就可以提高計(jì)算機(jī)系統(tǒng)的性能價(jià)格比 。并行性的等級本章內(nèi)容設(shè)計(jì)技術(shù)定量準(zhǔn)則利用并行性 從不同的角度看,等級的劃分也不一樣: 從計(jì)算機(jī)系統(tǒng)中執(zhí)行程序的角度看從計(jì)算機(jī)系統(tǒng)中執(zhí)行程序的角度看 從計(jì)算機(jī)系統(tǒng)中處理數(shù)據(jù)的角度看從計(jì)算機(jī)系統(tǒng)中處理數(shù)據(jù)的角度看 從計(jì)算機(jī)信息

25、加工的各個(gè)步驟和階段看從計(jì)算機(jī)信息加工的各個(gè)步驟和階段看從計(jì)算機(jī)系統(tǒng)中執(zhí)行程序的角度看本章內(nèi)容設(shè)計(jì)技術(shù)定量準(zhǔn)則利用并行性并行性的等級 一條指令內(nèi)部各個(gè)微操作之間的并行。多條指令的并行執(zhí)行。 多個(gè)任務(wù)或程序段的并行執(zhí)行。 多個(gè)作業(yè)或多道程序的并行。從計(jì)算機(jī)系統(tǒng)中處理數(shù)據(jù)的角度看本章內(nèi)容設(shè)計(jì)技術(shù)定量準(zhǔn)則利用并行性并行性的等級 同時(shí)只對一個(gè)字的一位進(jìn)行處理,這通常是指傳統(tǒng)的串行單處理機(jī),沒有并行性。 同時(shí)對一個(gè)字的全部位進(jìn)行處理,這通常是指傳統(tǒng)的并行單處理機(jī),開始出現(xiàn)并行性。 同時(shí)對許多字的同一位(位片)進(jìn)行處理,開始進(jìn)行并行處理領(lǐng)域。 同時(shí)對許多字的全部或部分進(jìn)行處理。10110111101100

26、010010110010101110010位片字從計(jì)算機(jī)信息加工的各個(gè)步驟和階段看本章內(nèi)容設(shè)計(jì)技術(shù)定量準(zhǔn)則利用并行性并行性的等級 例如,相聯(lián)處理機(jī)。 例如,流水線處理機(jī)。 例如,并行處理機(jī)。 例如,多處理機(jī)。并行性的發(fā)展本章內(nèi)容設(shè)計(jì)技術(shù)定量準(zhǔn)則利用并行性 單處理機(jī)系統(tǒng)內(nèi)并行性的發(fā)展 多計(jì)算機(jī)系統(tǒng)及其向并行處理系統(tǒng)的發(fā)展單處理機(jī)系統(tǒng)內(nèi)并行性的發(fā)展本章內(nèi)容設(shè)計(jì)技術(shù)定量準(zhǔn)則利用并行性并行性的發(fā)展 把一個(gè)任務(wù)按功能分割為若干個(gè)相互聯(lián)系的子任務(wù),然后將每一個(gè)子任務(wù)分配給某個(gè)專門的部件處理。目的是使所有部件同時(shí)處于全面忙碌工作的狀態(tài)。例如:指令流水線。 例如:多體存儲(chǔ)器、多操作部件、并行處理機(jī)等。 例如:

27、多道程序和分時(shí)系統(tǒng)多計(jì)算機(jī)系統(tǒng)及其向并行處理系統(tǒng)的發(fā)展本章內(nèi)容設(shè)計(jì)技術(shù)定量準(zhǔn)則利用并行性并行性的發(fā)展 多臺計(jì)算機(jī)通過通道/通信線路實(shí)現(xiàn)互連,共享某些外設(shè),以較低頻帶在文件/數(shù)據(jù)集級別上相互作用。系統(tǒng)功能被劃分成多個(gè)專門功能,然后將它們分散給各個(gè)專用處理機(jī)。專用處理機(jī)可具有不同的體系結(jié)構(gòu)。目標(biāo)是構(gòu)成。 通過總線通過總線/ /高速互連網(wǎng)絡(luò)高速互連網(wǎng)絡(luò)互連多臺計(jì)算機(jī),共享主存,互連多臺計(jì)算機(jī),共享主存,以較高速率在數(shù)據(jù)以較高速率在數(shù)據(jù)/ /任務(wù)集上任務(wù)集上相互作用。支持進(jìn)程和程序相互作用。支持進(jìn)程和程序的并行處理。各處理機(jī)具有的并行處理。各處理機(jī)具有相同的功能。目標(biāo)是構(gòu)成相同的功能。目標(biāo)是構(gòu)成。w

28、地理上分散的多臺計(jì)算地理上分散的多臺計(jì)算機(jī)通過計(jì)算機(jī)通信網(wǎng)實(shí)現(xiàn)互機(jī)通過計(jì)算機(jī)通信網(wǎng)實(shí)現(xiàn)互連,共享資源。目標(biāo)是構(gòu)成連,共享資源。目標(biāo)是構(gòu)成。計(jì)算機(jī)系統(tǒng)的評價(jià)標(biāo)準(zhǔn)本章內(nèi)容 成本 性能成 本本章內(nèi)容評價(jià)標(biāo)準(zhǔn) 成本是指生產(chǎn)一個(gè)計(jì)算機(jī)系統(tǒng)所需的費(fèi)用,包括軟、硬件的費(fèi)用。影響因素:時(shí)間、產(chǎn)量、商品化。 價(jià)格是指一個(gè)計(jì)算機(jī)系統(tǒng)銷售時(shí)的金額。以一個(gè)價(jià)值$1000的PC為例(圖)來介紹價(jià)格的構(gòu)成:標(biāo)價(jià)元件成本直接成本毛利平均折扣2 之 1是指與生產(chǎn)一件產(chǎn)品直是指與生產(chǎn)一件產(chǎn)品直接有關(guān)的成本,包括勞動(dòng)力成本、接有關(guān)的成本,包括勞動(dòng)力成本、保證金(在保質(zhì)期間系統(tǒng)在用戶那保證金(在保質(zhì)期間系統(tǒng)在用戶那邊失靈時(shí)的更換或

29、維修費(fèi)用)等。邊失靈時(shí)的更換或維修費(fèi)用)等。是指與生產(chǎn)一是指與生產(chǎn)一件產(chǎn)品間接有關(guān)的成本,包括公司件產(chǎn)品間接有關(guān)的成本,包括公司的研發(fā)費(fèi)用、營銷費(fèi)用、設(shè)備維護(hù)的研發(fā)費(fèi)用、營銷費(fèi)用、設(shè)備維護(hù)費(fèi)用、場地租金、財(cái)務(wù)成本、稅前費(fèi)用、場地租金、財(cái)務(wù)成本、稅前利潤和稅。利潤和稅。 2 之 2性 能本章內(nèi)容評價(jià)標(biāo)準(zhǔn) 性能標(biāo)準(zhǔn) 基準(zhǔn)測試程序 性能比較性能標(biāo)準(zhǔn)本章內(nèi)容評價(jià)標(biāo)準(zhǔn)性能 衡量計(jì)算機(jī)性能的唯一永久而且可靠的標(biāo)準(zhǔn)是:。下面介紹幾種較流行的替代標(biāo)準(zhǔn)及其不足:w MIPSw MFLOPSw 選擇程序評價(jià)性能選擇程序評價(jià)性能MIPS本章內(nèi)容評價(jià)標(biāo)準(zhǔn)性能性能標(biāo)準(zhǔn) MIPS(每秒百萬條指令數(shù))定義為: 程序的執(zhí)行時(shí)

30、間為:6610CPI10MIPS時(shí)鐘頻率執(zhí)行時(shí)間指令條數(shù)610MIPSTe指令條數(shù)主要缺點(diǎn):主要缺點(diǎn): 不同指令的速度差別很大不同指令的速度差別很大 指令使用頻度差別很大指令使用頻度差別很大 有相當(dāng)多的非功能性指令有相當(dāng)多的非功能性指令遺憾啊!MFLOPS本章內(nèi)容評價(jià)標(biāo)準(zhǔn)性能性能標(biāo)準(zhǔn) MFLOPS(每秒百萬次浮點(diǎn)操作次數(shù))定義為: MFLOPS只能衡量機(jī)器浮點(diǎn)操作的性能,而不能體現(xiàn)機(jī)器的整體性能。610執(zhí)行時(shí)間程序中的浮點(diǎn)操作次數(shù)MFLOPS主要缺點(diǎn):主要缺點(diǎn): 依賴于浮點(diǎn)運(yùn)算集依賴于浮點(diǎn)運(yùn)算集 依賴于浮點(diǎn)操作類型依賴于浮點(diǎn)操作類型可惜!選擇程序評價(jià)性能本章內(nèi)容評價(jià)標(biāo)準(zhǔn)性能性能標(biāo)準(zhǔn) 主要有5類

31、測試程序(以測量準(zhǔn)確程度遞減的次序排列): 真實(shí)程序真實(shí)程序 改造改造/ /模擬程序模擬程序 核心測試程序核心測試程序 玩具基準(zhǔn)測試程序玩具基準(zhǔn)測試程序 綜合基準(zhǔn)測試程序綜合基準(zhǔn)測試程序真實(shí)程序本章內(nèi)容評價(jià)標(biāo)準(zhǔn)性能性能標(biāo)準(zhǔn)選擇程序評價(jià)性能 使用真實(shí)程序進(jìn)行測試。 C編譯程序、Word等字處理程序、Photoshop等應(yīng)用程序。 會(huì)因程序依賴于具體的操作系統(tǒng)或編譯器而產(chǎn)生移植問題。改造/模擬程序本章內(nèi)容評價(jià)標(biāo)準(zhǔn)性能性能標(biāo)準(zhǔn)選擇程序評價(jià)性能解決可移植問題側(cè)重于系統(tǒng)性能的某一特定方面 能再現(xiàn)桌面系統(tǒng)中可能出現(xiàn)的相互影響的行為,或模擬服務(wù)器系統(tǒng)中可能出現(xiàn)的復(fù)雜的多用戶交互。核心測試程序本章內(nèi)容評價(jià)標(biāo)準(zhǔn)

32、性能性能標(biāo)準(zhǔn)選擇程序評價(jià)性能 從真實(shí)程序中提取出的一些小而關(guān)鍵的程序段進(jìn)行測試。 Livermore Loops和Linpack等。 將計(jì)算機(jī)中各項(xiàng)特性的性能分離出來,以解釋運(yùn)行真實(shí)程序時(shí)性能有差異的原因。玩具基準(zhǔn)測試程序本章內(nèi)容評價(jià)標(biāo)準(zhǔn)性能性能標(biāo)準(zhǔn)選擇程序評價(jià)性能 選擇通常只有10100行的代碼、用戶在測試之前都已經(jīng)知道運(yùn)行結(jié)果的程序進(jìn)行測試。 Puzzle、快速排序等。 用于測試某種特定指標(biāo)。綜合基準(zhǔn)測試程序本章內(nèi)容評價(jià)標(biāo)準(zhǔn)性能性能標(biāo)準(zhǔn)選擇程序評價(jià)性能 人工合成出來的測試程序。為了使測試程序的行為和表現(xiàn)盡可能接近于真實(shí)的應(yīng)用程序,一般先對大量的應(yīng)用程序中的操作進(jìn)行統(tǒng)計(jì),得到各種操作的比例,

33、然后再按這個(gè)比例編制測試程序。 Whetstone和Dhrystone?;鶞?zhǔn)測試程序本章內(nèi)容評價(jià)標(biāo)準(zhǔn)性能 把應(yīng)用程序中用得最頻繁的那部分核心程序作為評價(jià)計(jì)算機(jī)性能的標(biāo)準(zhǔn)程序。稱為基準(zhǔn)測試程序 (benchmark)。 用于桌面機(jī)的benchmarks 用于服務(wù)器的benchmarks 用于嵌入式計(jì)算機(jī)的benchmarks用于桌面機(jī)的benchmarks本章內(nèi)容評價(jià)標(biāo)準(zhǔn)性能基準(zhǔn)測試程序 桌面機(jī)應(yīng)具有的主要特性:。SPEC()是常用的benchmarks ,這些benchmarks可分為兩大類:和。 SPEC CPU2000(表,測試實(shí)例)。 SPECviewperf和SPECapc。7 之 1

34、SPEC本章內(nèi)容評價(jià)標(biāo)準(zhǔn)性能基準(zhǔn)測試程序 用于桌面機(jī)的benchmarks SPEC(System Performance Evaluation Cooperative)是由30個(gè)左右世界知名計(jì)算機(jī)大廠商所支持的非盈利的合作組織,包括:IBM、AT&T、BULL、Compaq、CDC、DG、DEC、Fujitsu、HP、Intel、MIPS、Motolola、SGI、SUN、Unisys等;。 SPEC發(fā)展過程為:SPEC89SPEC92SPEC95SPEC2000SPEC20067 之 212個(gè)整數(shù)程序用于CINT2000測試14個(gè)浮點(diǎn)程序用于CFP2000測試7 之 3SPEC CPU20

35、00測試實(shí)例本章內(nèi)容評價(jià)標(biāo)準(zhǔn)性能基準(zhǔn)測試程序 用于桌面機(jī)的benchmarks 測試對象 測試結(jié)果SPEC CINT2000的測試結(jié)果SPEC CFP2000的測試結(jié)果7 之 4 每一個(gè)桌面系統(tǒng)都配有一個(gè)每一個(gè)桌面系統(tǒng)都配有一個(gè)CPU、512MB SDRAM(如果支持則帶如果支持則帶ECC校驗(yàn))、大約校驗(yàn))、大約20GB的硬盤、一個(gè)快速的硬盤、一個(gè)快速的圖形系統(tǒng)和一個(gè)的圖形系統(tǒng)和一個(gè)10/100Mbps以太網(wǎng)連接。以太網(wǎng)連接。7 之 5性能最好 性價(jià)比最好 7個(gè)桌面計(jì)算機(jī)的個(gè)桌面計(jì)算機(jī)的SPEC CINT2000測試結(jié)果測試結(jié)果7 之 6性能最好 性價(jià)比最好 7個(gè)桌面計(jì)算機(jī)的個(gè)桌面計(jì)算機(jī)的SP

36、EC CFP2000測試結(jié)果測試結(jié)果7 之 7用于服務(wù)器的benchmarks本章內(nèi)容評價(jià)標(biāo)準(zhǔn)性能基準(zhǔn)測試程序 服務(wù)器應(yīng)具有的主要特性:。 常用的benchmarks 有兩種: 7 之 1SPEC本章內(nèi)容評價(jià)標(biāo)準(zhǔn)性能基準(zhǔn)測試程序 用于服務(wù)器的benchmarks 面向流量的benchmark。 面向文件服務(wù)器(NFS)的benchmark。它不僅測試處理器的性能,而且測試I/O系統(tǒng)的性能。它重點(diǎn)測試吞吐率。 面向Web服務(wù)器的benchmark。它模擬多個(gè)客戶請求訪問服務(wù)器中的動(dòng)態(tài)和靜態(tài)頁面以及向服務(wù)器上傳數(shù)據(jù)的情況。7 之 2TPC本章內(nèi)容評價(jià)標(biāo)準(zhǔn)性能基準(zhǔn)測試程序 用于服務(wù)器的benchma

37、rks TPC的benchmarks()用于測量一個(gè)計(jì)算機(jī)系統(tǒng)事務(wù)處理的性能。第一個(gè)TPC benchmarks是TPC-A,現(xiàn)已被下面的幾個(gè)所取代: TPC-C于1992建立,用于模擬一個(gè)復(fù)雜的查詢環(huán)境。 TPC-H用于自主(ad hoc)決策支持。 TPC-W是一個(gè)基于Web的事務(wù)benchmark。 TPC-E用于模擬一個(gè)經(jīng)紀(jì)公司的客戶賬戶。7 之 3TPC-C測試實(shí)例本章內(nèi)容評價(jià)標(biāo)準(zhǔn)性能基準(zhǔn)測試程序 用于服務(wù)器的benchmarks 測試對象 測試結(jié)果6個(gè)高性能服務(wù)器的測試結(jié)果6個(gè)高性價(jià)比服務(wù)器的測試結(jié)果7 之 4高性能高性價(jià)比12個(gè)個(gè)OLTP(在線事務(wù)處理)服務(wù)器在線事務(wù)處理)服務(wù)器

38、7 之 5性能最好 性價(jià)比最好 6個(gè)高性能個(gè)高性能OLTP服務(wù)器的服務(wù)器的TPC-C測試結(jié)果測試結(jié)果7 之 6性能最好 性價(jià)比最好 6個(gè)高性價(jià)比個(gè)高性價(jià)比OLTP服務(wù)器的服務(wù)器的TPC-C測試結(jié)果測試結(jié)果7 之 7用于嵌入式計(jì)算機(jī)的benchmarks本章內(nèi)容評價(jià)標(biāo)準(zhǔn)性能基準(zhǔn)測試程序 嵌入式計(jì)算機(jī)應(yīng)具有的主要特性:。 benchmark的發(fā)展處于初期階段,目前最好的benchmark標(biāo)準(zhǔn)化集是(EDN Embedded Microprocessor Benchmark Consortium)。EEMBC benchmarks可分為5類:汽車/工業(yè)、消費(fèi)者、網(wǎng)絡(luò)、辦公自動(dòng)化和電信(表,測試實(shí)例)

39、 。7 之 1EEMBC benchmarks ()7 之 2EEMBC測試實(shí)例本章內(nèi)容評價(jià)標(biāo)準(zhǔn)性能基準(zhǔn)測試程序 用于潛入式計(jì)算機(jī)的benchmarks 測試對象 測試結(jié)果相對性能的測試結(jié)果相對性價(jià)比的測試結(jié)果功率和效率的測試結(jié)果7 之 35個(gè)不同的嵌入式處理器個(gè)不同的嵌入式處理器7 之 4性能最差 性能最好 5個(gè)嵌入式處理器相對性能的個(gè)嵌入式處理器相對性能的EEMBC測試結(jié)果測試結(jié)果7 之 5性價(jià)比最差 性價(jià)比最好 5個(gè)嵌入式處理器相對性價(jià)比的個(gè)嵌入式處理器相對性價(jià)比的EEMBC測試結(jié)果測試結(jié)果7 之 6最差 最好 5個(gè)嵌入式處理器每瓦相對性能的個(gè)嵌入式處理器每瓦相對性能的EEMBC測試結(jié)果

40、測試結(jié)果7 之 7性能比較本章內(nèi)容評價(jià)標(biāo)準(zhǔn)性能 為了能公平地綜合比較計(jì)算機(jī)的性能,引入新的綜合度量標(biāo)準(zhǔn): 總執(zhí)行時(shí)間 加權(quán)執(zhí)行時(shí)間 標(biāo)準(zhǔn)化執(zhí)行時(shí)間總執(zhí)行時(shí)間本章內(nèi)容評價(jià)標(biāo)準(zhǔn)性能性能比較其中:Ti為第i個(gè)程序的執(zhí)行時(shí)間,n為程序數(shù),Ri=1/Ti。n1iimTSniimTnA11niimRnH11加權(quán)執(zhí)行時(shí)間本章內(nèi)容評價(jià)標(biāo)準(zhǔn)性能性能比較niiimTWA1Wi :為第:為第i個(gè)程序的比重個(gè)程序的比重 Ti :為第:為第i個(gè)程序的執(zhí)行時(shí)間個(gè)程序的執(zhí)行時(shí)間 Ri :1/Ti n :為程序數(shù):為程序數(shù)niiimRWH11)(標(biāo)準(zhǔn)化執(zhí)行時(shí)間本章內(nèi)容評價(jià)標(biāo)準(zhǔn)性能性能比較 先將各程序的執(zhí)行時(shí)間對一臺參考機(jī)器進(jìn)

41、行標(biāo)準(zhǔn)化,然后取標(biāo)準(zhǔn)化執(zhí)行時(shí)間的平均值(可以是算術(shù)平均值,也可以是幾何平均值)。 這是SPEC基準(zhǔn)測試程序所用的方法,它的參考時(shí)間是以在SPARCstation 上的運(yùn)行時(shí)間作為基準(zhǔn)。niimETRnA11nniimETRG1ETRi :為第:為第i個(gè)程序?qū)€(gè)程序?qū)⒖紮C(jī)器標(biāo)準(zhǔn)后的考機(jī)器標(biāo)準(zhǔn)后的執(zhí)行時(shí)間執(zhí)行時(shí)間 n :為程序數(shù):為程序數(shù)2 之 1標(biāo)準(zhǔn)化執(zhí)行時(shí)間本章內(nèi)容評價(jià)標(biāo)準(zhǔn)性能性能比較2 之 2 算術(shù)平均值因參考機(jī)器不同而不同,幾何平均值不因參考機(jī)器不同而不同。所以標(biāo)準(zhǔn)化執(zhí)行時(shí)間應(yīng)采用幾何平均值。計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)的發(fā)展本章內(nèi)容 馮諾依曼(Van Nenmann)結(jié)構(gòu) 器件發(fā)展對系統(tǒng)結(jié)構(gòu)的影響

42、 軟件對系統(tǒng)結(jié)構(gòu)發(fā)展的影響 應(yīng)用對系統(tǒng)結(jié)構(gòu)發(fā)展的影響馮諾依曼結(jié)構(gòu)本章內(nèi)容計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)的發(fā)展 基本思想于1936年1946年期間形成,由馮諾依曼等人于1946年提出。8 之 1馮諾依曼結(jié)構(gòu)本章內(nèi)容計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)的發(fā)展 現(xiàn)在計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)已經(jīng)在馮諾依曼結(jié)構(gòu)上得到不斷的發(fā)展和改進(jìn),主要體現(xiàn)在下面兩點(diǎn): 8 之 2改進(jìn)一本章內(nèi)容計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)的發(fā)展8 之 3 以運(yùn)算器為中心,所有部件由控制器集中控制。以主存為中心操作并行 例如,I/O與CPU并行(DMA、I/O處理機(jī)等),I與O并行等。改進(jìn)二本章內(nèi)容計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)的發(fā)展8 之 4 存儲(chǔ)程序:指令順序執(zhí)行,指令和數(shù)據(jù)同等對待(存于同一存儲(chǔ)器中,指令在

43、執(zhí)行中可修改)。數(shù)據(jù)流機(jī)器 指令的執(zhí)行次序與存放次序沒有關(guān)系,由數(shù)據(jù)驅(qū)動(dòng)。指令在執(zhí)行過程中不準(zhǔn)修改指令和數(shù)據(jù)分開存儲(chǔ)改進(jìn)三本章內(nèi)容計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)的發(fā)展8 之 5 存儲(chǔ)器按地址訪問,是順序、一維的線性空間,且每個(gè)單位的位數(shù)是固定的。采用按內(nèi)容訪問的相聯(lián)存儲(chǔ)器硬件實(shí)現(xiàn)高速相聯(lián)查找將整個(gè)訪存地址分為段號、頁號和頁內(nèi)地址存儲(chǔ)器同時(shí)具有按字、字節(jié)、位的多種編址方式改進(jìn)四本章內(nèi)容計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)的發(fā)展8 之 6 指令在存儲(chǔ)器中基本是按其執(zhí)行順序依次存儲(chǔ)。先行控制、重疊和流水等(CPU內(nèi)的指令執(zhí)行)向量處理機(jī)、并行處理機(jī)和多處理機(jī)等(指令內(nèi)、指令間、任務(wù)間的并行)數(shù)據(jù)流計(jì)算機(jī)(指令的執(zhí)行由數(shù)據(jù)驅(qū)動(dòng),而非通常意

44、義下的順序執(zhí)行)改進(jìn)五本章內(nèi)容計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)的發(fā)展8 之 7 指令由操作碼和操作數(shù)兩部分組成,操作數(shù)的類型由操作碼指明。增加許多高級數(shù)據(jù)表示,例如:自定義數(shù)據(jù)表示(數(shù)據(jù)自帶類型標(biāo)志)CISC(復(fù)雜指令系統(tǒng)計(jì)算機(jī))、RISC(精簡指令系統(tǒng)計(jì)算機(jī))和WISC(可寫指令系統(tǒng)計(jì)算機(jī))改進(jìn)六本章內(nèi)容計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)的發(fā)展8 之 8 數(shù)據(jù)以二進(jìn)制編碼,并采用二進(jìn)制運(yùn)算。增加基本數(shù)據(jù)表示 例如,十進(jìn)制數(shù)、邏輯數(shù)、可變長字符串等。增加高級數(shù)據(jù)表示 例如,自定義、向量、堆棧等。器件發(fā)展對系統(tǒng)結(jié)構(gòu)的影響本章內(nèi)容計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)的發(fā)展 器件發(fā)展的特點(diǎn) 器件種類 器件發(fā)展對設(shè)計(jì)方法的影響器件發(fā)展的特點(diǎn)本章內(nèi)容計(jì)算機(jī)系統(tǒng)結(jié)

45、構(gòu)的發(fā)展器件發(fā)展對系統(tǒng)結(jié)構(gòu)的影響8個(gè)晶體管,每5年左右提高一個(gè)數(shù)量級。 將來速度的提高主要靠系統(tǒng)結(jié)構(gòu)、組成和實(shí)現(xiàn)技術(shù) 。 例如,CPU芯片剛推出時(shí)1000,一年后降到200。 芯片可靠性到達(dá)108小時(shí),即連續(xù)使用1萬年以上。器件種類本章內(nèi)容計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)的發(fā)展器件發(fā)展對系統(tǒng)結(jié)構(gòu)的影響 用戶不能改變其功能,在生產(chǎn)時(shí)定死,可以大批量生產(chǎn),例如:CPU、存儲(chǔ)器、寄存器、邏輯門 用戶可以在現(xiàn)場更改內(nèi)容,例如:PROM、EPROM 按用戶要求專門生產(chǎn)的高集成度的VLSI器件。 全用戶片 完全按用戶要求設(shè)計(jì)的用戶片,例如:各種專用芯片。 半用戶片 按通用片生產(chǎn)的用戶片,例如:門陣列片等。器件發(fā)展對設(shè)計(jì)方法

46、的影響本章內(nèi)容計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)的發(fā)展器件發(fā)展對系統(tǒng)結(jié)構(gòu)的影響 原來只有在巨型機(jī)、大型機(jī)中采用的技術(shù),已經(jīng)用到微型機(jī)中,例如:超流水線、Cache等。 第1代計(jì)算機(jī),幾乎全部由硬件人員設(shè)計(jì);第2、3、4代,由應(yīng)用、軟件、硬件和器件人員共同設(shè)計(jì);將來:除器件設(shè)計(jì)之外,主要是軟件設(shè)計(jì)。 過去邏輯設(shè)計(jì)很重要,盡量節(jié)省每一個(gè)芯片,每一個(gè)門;目前的設(shè)計(jì)主要考慮:了解市場,盡量選擇通用芯片、標(biāo)準(zhǔn)芯片;結(jié)構(gòu)化設(shè)計(jì),規(guī)整、易讀、易診斷。軟件對系統(tǒng)結(jié)構(gòu)的影響本章內(nèi)容計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)的發(fā)展 用戶對軟件的要求 若一個(gè)程序可以不經(jīng)修改或只需少量修改就能運(yùn)于不同型號的計(jì)算機(jī)上,則稱該程序是可移植的(portable)。實(shí)現(xiàn)軟

47、件可移植性本章內(nèi)容計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)的發(fā)展軟件對系統(tǒng)結(jié)構(gòu)的影響 統(tǒng)一高級語言 采用系列機(jī)思想 模擬與仿真統(tǒng)一高級語言本章內(nèi)容計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)的發(fā)展軟件對系統(tǒng)結(jié)構(gòu)的影響實(shí)現(xiàn)軟件可移植性 設(shè)計(jì)出一種完全通用的高級語言,為所有的程序員所使用。 不同的用途往往要求語言有不同的語法結(jié)構(gòu)和語義結(jié)構(gòu)人們對語言的基本結(jié)構(gòu)還沒有透徹的和統(tǒng)一的認(rèn)識習(xí)慣勢力的影響 Ada語言,美國軍方統(tǒng)一用Ada語言開發(fā)實(shí)時(shí)軟件。采用系列機(jī)思想本章內(nèi)容計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)的發(fā)展軟件對系統(tǒng)結(jié)構(gòu)的影響實(shí)現(xiàn)軟件可移植性 先確定好系統(tǒng)結(jié)構(gòu),軟件設(shè)計(jì)者據(jù)此設(shè)計(jì)軟件,硬件設(shè)計(jì)者則根據(jù)不同的性能要求,采用不同的組成和實(shí)現(xiàn)技術(shù),提供不同檔次的機(jī)器。 是指在一

48、個(gè)廠家內(nèi)生產(chǎn)的具有相同的系統(tǒng)結(jié)構(gòu),但具有不同組成和實(shí)現(xiàn)的一系列不同型號的機(jī)器。例如,IBM PC系列、IBM370系列等。 是指不同公司廠家生產(chǎn)的具有相同系統(tǒng)結(jié)構(gòu),但具有不同組成和實(shí)現(xiàn)的一系列不同型號的機(jī)器。兼容機(jī)允許進(jìn)行擴(kuò)充,例如:長城0520兼容機(jī)增加了漢字處理功能2 之 1軟件兼容性(compatibility)本章內(nèi)容計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)的發(fā)展軟件對系統(tǒng)結(jié)構(gòu)的影響實(shí)現(xiàn)軟件可移植性2 之 2 指的是同一軟件可不加修改地運(yùn)行于該系列機(jī)器中的各檔機(jī)器上的特性。 時(shí)間機(jī)器檔次當(dāng)前機(jī)器向上兼容向下兼容高低向后兼容向前兼容必須必須做到,而做到,而卻不一定。卻不一定。一般一般要求做到,而要求做到,而卻不卻

49、不一定。一定。模 擬本章內(nèi)容計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)的發(fā)展軟件對系統(tǒng)結(jié)構(gòu)的影響實(shí)現(xiàn)軟件可移植性 指的是用一臺機(jī)器()的機(jī)器語言程序解釋實(shí)現(xiàn)另一臺機(jī)器()的指令系統(tǒng)的方法。3 之 1 模擬可實(shí)現(xiàn)程序模擬可實(shí)現(xiàn)程序在任何機(jī)器間的在任何機(jī)器間的移植移植 模擬影響虛擬機(jī)模擬影響虛擬機(jī)的速度的速度 模擬不宜用于在模擬不宜用于在時(shí)間關(guān)系上有約時(shí)間關(guān)系上有約束的程序的移植束的程序的移植應(yīng)用虛擬機(jī)應(yīng)用虛擬機(jī)操作系統(tǒng)虛擬機(jī)操作系統(tǒng)虛擬機(jī)機(jī)器語言虛擬機(jī)機(jī)器語言虛擬機(jī)高級語言虛擬機(jī)高級語言虛擬機(jī)操作系統(tǒng)虛擬機(jī)操作系統(tǒng)虛擬機(jī)傳統(tǒng)機(jī)器傳統(tǒng)機(jī)器微程序機(jī)器微程序機(jī)器B虛擬機(jī)虛擬機(jī)A宿主機(jī)宿主機(jī)仿 真本章內(nèi)容計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)的發(fā)展軟件對

50、系統(tǒng)結(jié)構(gòu)的影響實(shí)現(xiàn)軟件可移植性 指的是用一臺機(jī)器()的微程序解釋實(shí)現(xiàn)另一臺機(jī)器()的指令系統(tǒng)的方法。3 之 2 若目標(biāo)機(jī)同宿主機(jī)若目標(biāo)機(jī)同宿主機(jī)的結(jié)構(gòu)差別大,則的結(jié)構(gòu)差別大,則仿真困難仿真困難用用程程序解釋,其解釋程序解釋,其解釋程序存在主存中。序存在主存中。用用解釋,解釋,其解釋程序存在控其解釋程序存在控制存儲(chǔ)器中。制存儲(chǔ)器中。應(yīng)用虛擬機(jī)應(yīng)用虛擬機(jī)操作系統(tǒng)虛擬機(jī)操作系統(tǒng)虛擬機(jī)機(jī)器語言虛擬機(jī)機(jī)器語言虛擬機(jī)高級語言虛擬機(jī)高級語言虛擬機(jī)操作系統(tǒng)虛擬機(jī)操作系統(tǒng)虛擬機(jī)傳統(tǒng)機(jī)器傳統(tǒng)機(jī)器微程序機(jī)器微程序機(jī)器B目標(biāo)機(jī)目標(biāo)機(jī)A宿主機(jī)宿主機(jī)模擬和仿真本章內(nèi)容計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)的發(fā)展軟件對系統(tǒng)結(jié)構(gòu)的影響實(shí)現(xiàn)軟件可移植

51、性 在實(shí)際應(yīng)用中,通常將模擬和仿真這兩種方法混合使用。 3 之 3應(yīng)用領(lǐng)域的演變本章內(nèi)容計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)的發(fā)展軍事、科學(xué)研究軍事、科學(xué)研究生產(chǎn)過程控制、行政管理生產(chǎn)過程控制、行政管理家庭、個(gè)人家庭、個(gè)人領(lǐng)域領(lǐng)域時(shí)間時(shí)間醫(yī)療衛(wèi)生、交通指揮、商業(yè)醫(yī)療衛(wèi)生、交通指揮、商業(yè)4 之 1計(jì)算機(jī)的演變本章內(nèi)容計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)的發(fā)展4 之 2性能和價(jià)格關(guān)系的處理本章內(nèi)容計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)的發(fā)展 用戶的性能/價(jià)格要求決定廠商的生產(chǎn)決策。 維持價(jià)格不變,利用新型器件提高機(jī)器性能(水平實(shí)線)保持性能基本不變,利用低成本器件降低機(jī)器價(jià)格(等性能線)價(jià)格(對數(shù))價(jià)格(對數(shù))時(shí)間時(shí)間巨型機(jī)巨型機(jī)大型機(jī)大型機(jī)中型機(jī)中型機(jī)小型機(jī)小型

52、機(jī)微型機(jī)微型機(jī)亞微型機(jī)亞微型機(jī)微微型機(jī)微微型機(jī)t-3t-3t-2t-2t-1t-1t tt+1t+1t+2t+24 之 3計(jì)算機(jī)發(fā)展方向本章內(nèi)容計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)的發(fā)展 例如,商用機(jī)。這是計(jì)算機(jī)發(fā)展的主要方向。 例如,銀河機(jī)、曙光機(jī),主要出于國家安全需要、科技發(fā)展需要。 例如,家用學(xué)習(xí)機(jī)等。4 之 4本章內(nèi)容計(jì)算機(jī)系統(tǒng)的分類 佛林分類法 庫克分類法 馮澤云分類法佛林(Flynn)分類法本章內(nèi)容計(jì)算機(jī)系統(tǒng)的分類 按和的進(jìn)行分類: 單指令流單數(shù)據(jù)流 單指令流多數(shù)據(jù)流 多指令流單數(shù)據(jù)流 多指令流多數(shù)據(jù)流 機(jī)器執(zhí)行的指令序列。機(jī)器執(zhí)行的指令序列。 由指令流調(diào)用的數(shù)據(jù)序由指令流調(diào)用的數(shù)據(jù)序列(輸入數(shù)據(jù)、中間

53、結(jié)列(輸入數(shù)據(jù)、中間結(jié)果)。果)。 在系統(tǒng)性能瓶頸部件上在系統(tǒng)性能瓶頸部件上處于同一執(zhí)行階段的指令處于同一執(zhí)行階段的指令或數(shù)據(jù)的最大可能個(gè)數(shù)。或數(shù)據(jù)的最大可能個(gè)數(shù)。6 之 1單指令流單數(shù)據(jù)流(SISD)本章內(nèi)容計(jì)算機(jī)系統(tǒng)的分類佛林分類法 典型,包括: :IBM1401,VAX-11 :IBM360/91,370/168,CDC6600 :標(biāo)量流水線處理機(jī)CUPUMMISDSCS6 之 2單指令流多數(shù)據(jù)流(SIMD)本章內(nèi)容計(jì)算機(jī)系統(tǒng)的分類佛林分類法 例如:并行處理機(jī)、陣列處理機(jī)、向量處理機(jī)、相聯(lián)處理機(jī)、超標(biāo)量處理機(jī)、超流水線處理機(jī).PU1MM1ISDS1CUPU2PUnDS2DSnMMnCS6

54、 之 3多指令流單數(shù)據(jù)流(MISD)本章內(nèi)容計(jì)算機(jī)系統(tǒng)的分類佛林分類法 幾條指令對同一個(gè)數(shù)據(jù)進(jìn)行不同處理,這種類型的計(jì)算機(jī)實(shí)際上不存在。MM1DSPU1IS1CU1MMnPU2IS2CU2PUnISnCUnCS1CS2CSnDS6 之 4多指令流多數(shù)據(jù)流(MIMD)本章內(nèi)容計(jì)算機(jī)系統(tǒng)的分類佛林分類法 ,包括,緊密耦合: UNIVAC-1100/80 、IBM3084、 IBM3081 ;松散耦合:D-825、 CRAY-2 、 Cmmp。MM1PU1IS1CU1MMnPU2IS2CU2PUnISnCUnDS1DS2DSnCS1CS2CSn6 之 5特 點(diǎn)本章內(nèi)容計(jì)算機(jī)系統(tǒng)的分類 Flynn分類

55、法能反映出大多數(shù)計(jì)算機(jī)的并行性工作方式和結(jié)構(gòu)特點(diǎn),得到了廣泛應(yīng)用,但存在下列缺點(diǎn): 分類太粗 只能對Neumann型機(jī)器分類 難以完全反映系統(tǒng)在工作原理上的差別 無法定量描述系統(tǒng)的類型6 之 6庫克分類法本章內(nèi)容計(jì)算機(jī)系統(tǒng)的分類 按和的進(jìn)行分類: 典型的單處理機(jī)。 相聯(lián)處理機(jī)、向量處理機(jī)、流水線處理機(jī)、超流水線處理機(jī)、超標(biāo)量處理機(jī)、SIMD并行處理機(jī)。 多道程序系統(tǒng)。 典型的多處理機(jī)。馮澤云分類法本章內(nèi)容計(jì)算機(jī)系統(tǒng)的分類 用來對計(jì)算機(jī)系統(tǒng)進(jìn)行分類。 。假設(shè)同時(shí)處理的字寬為n,位片寬為m,則最大并行度定義為: Pm m n。 假設(shè)每個(gè)時(shí)鐘周期ti內(nèi)能同時(shí)處理的二進(jìn)位數(shù)為Bi,則n個(gè)時(shí)鐘周期內(nèi)的平均并行度定義為:3 之 1ntBPniiin13 之 2表示方法:表示方法:按最大并行度的馮氏分類法按最大并行度的馮氏分類法馮澤云分類法本章內(nèi)容計(jì)算機(jī)系統(tǒng)的分類 用最大并行度來對計(jì)算機(jī)系統(tǒng)進(jìn)行分類,可分為四種: 串行計(jì)算機(jī),例如:EDVAC(1,1)。 傳統(tǒng)的單處理機(jī),例如:Pentium(32,1)。 并行計(jì)算機(jī)、MPP、相聯(lián)計(jì)算機(jī);例如:MPP(1, 16384)、STARAN(1, 256)、 DAP等。 全并行計(jì)算機(jī),例:IILIAC IV(64,64)、 ASC(64,32)、 PEPE 、 Cmmp等。3 之 3

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!