基于單片機(jī)的籃球比賽計(jì)時(shí)器設(shè)計(jì)畢業(yè)論文

上傳人:紅** 文檔編號(hào):184187869 上傳時(shí)間:2023-02-01 格式:DOC 頁(yè)數(shù):49 大小:544.97KB
收藏 版權(quán)申訴 舉報(bào) 下載
基于單片機(jī)的籃球比賽計(jì)時(shí)器設(shè)計(jì)畢業(yè)論文_第1頁(yè)
第1頁(yè) / 共49頁(yè)
基于單片機(jī)的籃球比賽計(jì)時(shí)器設(shè)計(jì)畢業(yè)論文_第2頁(yè)
第2頁(yè) / 共49頁(yè)
基于單片機(jī)的籃球比賽計(jì)時(shí)器設(shè)計(jì)畢業(yè)論文_第3頁(yè)
第3頁(yè) / 共49頁(yè)

下載文檔到電腦,查找使用更方便

9 積分

下載資源

還剩頁(yè)未讀,繼續(xù)閱讀

資源描述:

《基于單片機(jī)的籃球比賽計(jì)時(shí)器設(shè)計(jì)畢業(yè)論文》由會(huì)員分享,可在線閱讀,更多相關(guān)《基于單片機(jī)的籃球比賽計(jì)時(shí)器設(shè)計(jì)畢業(yè)論文(49頁(yè)珍藏版)》請(qǐng)?jiān)谘b配圖網(wǎng)上搜索。

1、摘要本文主要介紹:籃球比賽計(jì)時(shí)器。本文首先介紹單片機(jī)的相關(guān)知識(shí),對(duì)單片機(jī)進(jìn)行相應(yīng)的研究,并將其與74HC595串行顯示電路配合使用。本電路主要核心是AT89S51,利用軟件和硬件的結(jié)合實(shí)現(xiàn)開機(jī)自動(dòng)置節(jié)計(jì)數(shù)器為第一節(jié),節(jié)計(jì)時(shí)器為12分00秒,24秒違例為24秒。用數(shù)字顯示籃球比賽當(dāng)時(shí)節(jié)數(shù),每節(jié)時(shí)間及24秒的倒計(jì)時(shí),采用單片機(jī)串行顯示。最后,本文會(huì)詳細(xì)敘述此電路的安裝與調(diào)試,并對(duì)調(diào)試過(guò)程中出現(xiàn)的問(wèn)題做簡(jiǎn)要說(shuō)明。關(guān)鍵詞 AT89S52單片機(jī);74HC595;XXXAbstractAbstract this article mainly introduced: Basketball game time

2、r. This article first introduced that monolithic integrated circuits related knowledge, conducts the corresponding research to the monolithic integrated circuit, and its and 74HC595 serial display circuit coordination use. This electric circuit main core is AT89S51, realizes using the software and h

3、ardwares union starting sets at the festival counter for first, the festival timer is 12 minute 00 second automatically, 24 second case of breaching the rules is 24 seconds. With the digital demonstration basketball game at that time the pitch number, each time and 24 second countdown, used the mono

4、lithic integrated circuit serial demonstration. Finally, this article will narrate this electric circuits installment and the debugging in detail, and to will debug the question which in the process will appear to give the briefing。key words 89S52 monolithic integrated circuit; 74HC595; XXX目 錄摘要IABS

5、TRACTII第1章 緒論11.1 課題背景11.2 設(shè)計(jì)簡(jiǎn)介2第2章 系統(tǒng)電路的設(shè)計(jì)方案32.1 系統(tǒng)設(shè)計(jì)方案的提出32.2 方案的確定32.3 本章小結(jié)3第3 章 電路設(shè)計(jì)原理及芯片介紹43.1 鍵盤控制及顯示電路設(shè)計(jì)的原理及要求43.1.1 電路的設(shè)計(jì)原理與功能要求43.1.2 電路的總設(shè)計(jì)框圖43.2 總電路選用芯片簡(jiǎn)介43.2.1 控制芯片AT89S5243.3 LED顯示原理介紹113.4 鍵盤控制原理介紹143.4.1 鍵盤的工作原理143.4.2 獨(dú)立式鍵盤173.5 本章小結(jié)20第4章 鍵盤控制及顯示硬件電路實(shí)現(xiàn)214.1 LED顯示電路設(shè)計(jì)214.2 獨(dú)立按鍵鍵盤的電路設(shè)計(jì)

6、224.3 硬件的焊接234.3.1 硬件的焊接234.3.2 電路板的檢查和故障排除244.4 本章小結(jié)24第5 章 鍵盤控制及顯示電路軟件設(shè)計(jì)265.1 軟件設(shè)計(jì)的基本工具265.1.1 匯編語(yǔ)言的簡(jiǎn)介265.1.2 匯編語(yǔ)言的指令系統(tǒng)與程序265.1.3 keilC51開發(fā)軟件簡(jiǎn)介285.2 獨(dú)立式鍵盤軟件設(shè)計(jì)285.2.1 軟件設(shè)計(jì)流程圖295.3 鍵盤控制及顯示電路設(shè)計(jì)軟件實(shí)現(xiàn)總流程圖295.3.1 總流程圖295.4 本章小結(jié)30結(jié) 論31致 謝32參考文獻(xiàn)3344第1章 緒論1.1 課題背景在電子技術(shù)飛速發(fā)展的今天,電子產(chǎn)品的人性化和智能化已經(jīng)非常成熟,其發(fā)展前景仍然不可估量。如

7、今的人們需求的是一種能給自己帶來(lái)方便的電子產(chǎn)品,當(dāng)然最好是人性化和智能化的,如何能做到智能化呢?單片機(jī)的引入就是一個(gè)很好的例子。單片機(jī)又稱單片微型計(jì)算機(jī),也稱為微控制器,是微型計(jì)算機(jī)的一個(gè)重要分支,單片機(jī)是20世紀(jì)70年代中期發(fā)展起來(lái)的一種大規(guī)模集成電路芯片,是集CPU,RAM,ROM,I/O接口和中斷系統(tǒng)于同一硅片上的器件。單片機(jī)的誕生標(biāo)志著計(jì)算機(jī)正式形成了通過(guò)計(jì)算機(jī)系統(tǒng)和嵌入式計(jì)算機(jī)系統(tǒng)兩個(gè)分支。目前單片機(jī)已滲透到我們生活的各個(gè)領(lǐng)域,幾乎很難找到哪個(gè)領(lǐng)域沒(méi)有單片機(jī)的蹤跡。在我們身邊,由單片機(jī)作為主控制器的全自動(dòng)洗衣機(jī)、高檔電風(fēng)扇、電子廚具、變頻空調(diào)、遙控彩電、錄像機(jī)、VCD/DVD機(jī)、組合

8、音響、電子琴等。單片機(jī)已在廣闊的計(jì)算機(jī)應(yīng)用領(lǐng)域中表現(xiàn)得淋漓盡致,出盡了風(fēng)頭。從家用消費(fèi)類電器到復(fù)印機(jī)、打印機(jī)、掃描儀、傳真機(jī)等辦公自動(dòng)化產(chǎn)品;從智能儀表、工業(yè)測(cè)控裝置到CT、MRI、刀等醫(yī)療設(shè)備;從數(shù)碼相機(jī)、攝錄一體機(jī)到航天技術(shù)、導(dǎo)航設(shè)備、現(xiàn)代軍事裝備;從形形色色的電子貨幣如電話卡、水電氣卡到身份識(shí)別卡、門禁控制卡、檔案管理卡及相關(guān)讀/寫卡機(jī)等等都有單片機(jī)在里面扮演重要角色。因此,單片機(jī)已成為電子類工作者必須掌握的專業(yè)技術(shù)之一。單片機(jī)就是一個(gè)微型中央處理器,通過(guò)編程即能完成很多智能化的工作 ,因此它的出現(xiàn)給電子技術(shù)智能化和微型化起到了很大的推動(dòng)作用。隨著人們生活水平的提高,社會(huì)經(jīng)濟(jì)的發(fā)展,人們

9、開始考慮精神生活的享受,并開始注重身體素質(zhì)的提高。開始舉辦一些小型的籃球比賽。這就需要裁判有一個(gè)公正的判罰,以保證比賽的順利進(jìn)行。這就需要有一個(gè)專門計(jì)時(shí)的工具。所以我就設(shè)計(jì)了一個(gè)籃球比賽計(jì)時(shí)器。設(shè)計(jì)簡(jiǎn)單,耗費(fèi)少,容易制作??捎糜诮诸^籃球比賽和校園籃球比賽。花很少的錢就可以得到一個(gè)實(shí)用的籃球比賽計(jì)時(shí)器。本次設(shè)計(jì)注重對(duì)單片機(jī)工作原理以及鍵盤控制及顯示原理的理解,以便今后自己在單片機(jī)領(lǐng)域的學(xué)習(xí)和開發(fā)打下基礎(chǔ),提高自己的動(dòng)手能力和設(shè)計(jì)能力,培養(yǎng)創(chuàng)新能力,豐富自己的理論知識(shí),做到理論和實(shí)踐相結(jié)合。本次設(shè)計(jì)的重要意義還在于對(duì)單片機(jī)的內(nèi)部結(jié)構(gòu)和工作狀態(tài)做更進(jìn)一步的了解,同時(shí)還對(duì)單片機(jī)的接口技術(shù),中斷技術(shù),存

10、儲(chǔ)方式和控制方式作更深層次的了解。此次設(shè)計(jì)更進(jìn)一步了解基本電路的設(shè)計(jì)流程,提高自己的設(shè)計(jì)理念,豐富自己的理論知識(shí),鞏固所學(xué)知識(shí),使自己的動(dòng)手動(dòng)腦能力有更進(jìn)一步提高,為自己今后的學(xué)習(xí)和工作打好基礎(chǔ),為自己的專業(yè)技能打好基礎(chǔ)。1.2 設(shè)計(jì)簡(jiǎn)介籃球比賽中除了有總時(shí)間倒計(jì)時(shí)外,為了加快比賽的節(jié)奏,新的規(guī)則還要求進(jìn)攻方在24秒內(nèi)有一次投籃動(dòng)作,否則視為違例。根據(jù)要求,以AT89S52單片機(jī)為核心,設(shè)計(jì)籃球比賽計(jì)時(shí)控制器?;@球比賽上下半場(chǎng)四節(jié)制,每節(jié)12分鐘,要求能隨時(shí)暫停,啟動(dòng)后繼續(xù)計(jì)時(shí),一節(jié)比賽結(jié)束后可清零。按籃球比賽規(guī)則,進(jìn)攻方有24秒為例計(jì)時(shí)?!胺帧薄懊搿憋@示用LED數(shù)碼管。用開關(guān)控制計(jì)時(shí)器的啟動(dòng)

11、/暫停。該籃球比賽計(jì)時(shí)器的設(shè)計(jì),可對(duì)比賽總時(shí)間和各方每次控球時(shí)間計(jì)時(shí)。該計(jì)時(shí)器采用按鍵操作、LED顯示,非常實(shí)用。此計(jì)時(shí)器在程序參數(shù)稍加修改后也可作為其他球類比賽的計(jì)時(shí)器。主控芯片為AT89S52,采用12MHz晶振,P0.0-P0.7作鍵盤輸入。A1為12分鐘暫停鍵;A2為啟動(dòng)12分鐘計(jì)時(shí)鍵,24秒計(jì)時(shí)開始;A3為24S復(fù)位開啟鍵(投籃或交換控球時(shí)按下此鍵); A4為24秒計(jì)時(shí)停止鍵(沒(méi)有違例);A5為總計(jì)時(shí)和24秒計(jì)時(shí)同時(shí)啟動(dòng)鍵;A6為總計(jì)時(shí)和24S計(jì)時(shí)同時(shí)停止鍵。 電路采用靜態(tài)顯示,一起點(diǎn)亮各位數(shù)碼管,同時(shí)顯示不同的字符。點(diǎn)亮各位數(shù)碼管鎖存輸出。顯示器的第一位顯示計(jì)時(shí)節(jié)數(shù),3至6位顯示計(jì)

12、時(shí)的分,最后2位顯示24秒。用T0定時(shí)器中斷進(jìn)行24秒處理,12分鐘計(jì)時(shí)用T1定時(shí)器中斷計(jì)時(shí)。同時(shí)電路通過(guò)鍵盤掃描,根據(jù)鍵值轉(zhuǎn)相應(yīng)鍵處理。第2章 系統(tǒng)電路的設(shè)計(jì)方案2.1 系統(tǒng)設(shè)計(jì)方案的提出本設(shè)計(jì)是基于89S52單片機(jī)的鍵盤控制及顯示電路設(shè)計(jì),從系統(tǒng)的設(shè)計(jì)功能上看,系統(tǒng)可分為兩大部分,即鍵盤輸入控制部分和顯示部分,對(duì)于每一個(gè)部分都有不同的設(shè)計(jì)方案,起初我擬訂了下面兩種方案:第一種方案:鍵盤控制采用矩陣掃描鍵盤,可以用普通按鍵構(gòu)成44矩陣鍵盤,直接接到89S52單片機(jī)的P0口,高四位作為行,低四位作為列,通過(guò)軟件完成鍵盤的掃描和定位。顯示部分采用動(dòng)態(tài)顯示,采用移位寄存器74LS164和譯碼器74

13、LS138通過(guò)顯示驅(qū)動(dòng)程序驅(qū)動(dòng)七段數(shù)碼管顯示。此方案成本低,所用到的兩個(gè)外圍芯片價(jià)格都很低廉,而且單片機(jī)的I/O口占用較少,可以節(jié)約單片機(jī)接口資源。第二種方案:鍵盤控制采用獨(dú)立是式鍵盤,每個(gè)按鍵的“接零端”均接地,每個(gè)按鍵的“測(cè)試端”各接一條輸入線,通過(guò)檢測(cè)輸入線的電平狀態(tài)就可以很容易地判斷哪個(gè)鍵被按下了,這種方法操作速度高而且軟件結(jié)構(gòu)很簡(jiǎn)單。這種方法比較適合按鍵較少或操作速度較高的場(chǎng)合。顯示部分采用靜態(tài)顯示方法,所謂靜態(tài)顯示,就是每一個(gè)顯示器都要占用單獨(dú)的具有鎖存功能的接口用于筆劃段字形代碼。這樣單片機(jī)只要把要顯示的字形代碼發(fā)送到接口電路,就不用管它了,直到要顯示新的數(shù)據(jù)時(shí),再發(fā)送新的字形碼

14、,因此,使用這種方法單片機(jī)中CPU的開銷小。2.2 方案的確定本設(shè)計(jì)要求按鍵較多,且本次設(shè)計(jì)只是對(duì)所學(xué)知識(shí)的一次實(shí)踐,設(shè)計(jì)要求簡(jiǎn)單,容易實(shí)現(xiàn),成本低。比較以上兩中設(shè)計(jì)方案,第二種成本低,占用單片機(jī)資源少,且容易實(shí)現(xiàn),這樣的設(shè)計(jì)比較適合本次設(shè)計(jì),故選用第二種設(shè)計(jì)方案。2.3 本章小結(jié)本章主對(duì)所選方案進(jìn)行比較,并最終確定選用那種方案。第3 章 電路設(shè)計(jì)原理及芯片介紹3.1 鍵盤控制及顯示電路設(shè)計(jì)的原理及要求3.1.1 電路的設(shè)計(jì)原理與功能要求本設(shè)計(jì)采用AT89S52單片機(jī)芯片作為中央處理芯片,采用AT89S52的P0口構(gòu)成獨(dú)立8鍵鍵盤,采用AT89S52串行口靜態(tài)顯示,選用74HC595作為L(zhǎng)ED

15、驅(qū)動(dòng)芯片。本電路設(shè)計(jì)有以下功能及要求:(1)籃球比賽計(jì)時(shí)器全場(chǎng)時(shí)間為48分鐘,共四節(jié),每節(jié)12分鐘和24秒違例。要求開機(jī)自動(dòng)置節(jié)計(jì)數(shù)器為第一節(jié),節(jié)計(jì)時(shí)器為12分00秒,24秒違例為24秒。(2)用數(shù)字顯示籃球比賽當(dāng)時(shí)節(jié)數(shù),每節(jié)時(shí)間及24秒的倒計(jì)時(shí),采用單片機(jī)串行顯示。(3)能隨時(shí)用按紐開關(guān)控制比賽的啟動(dòng)/暫停,啟動(dòng)后開始比賽,暫停期間不計(jì)時(shí),重新啟動(dòng)后繼續(xù)計(jì)時(shí)。3.1.2 電路的總設(shè)計(jì)框圖根據(jù)設(shè)計(jì)任務(wù)與要求,可初步將系統(tǒng)分為五大功能模塊:主電路、開關(guān)啟/??刂齐娐贰@示電路、音響電路和+5V穩(wěn)壓電源。進(jìn)一步細(xì)說(shuō),主電路選用89S52作為中央處理器;開關(guān)啟/??刂齐娐酚砂藗€(gè)按鍵組成;顯示電路由八

16、位七段數(shù)碼管和74HC595組成;音響電路用ULN2003驅(qū)動(dòng)蜂鳴器;+5V穩(wěn)壓電路采用7805穩(wěn)壓塊把電源電壓穩(wěn)定在+5V。原理框圖如圖3-1所示。圖3-1 籃球比賽計(jì)時(shí)器的原理框圖3.2 總電路選用芯片簡(jiǎn)介3.2.1 控制芯片AT89S52AT89S52功能特性描述:AT89S52 是一種低功耗、高性能CMOS8 位微控制器,具有8K 在系統(tǒng)可編程Flash 存儲(chǔ)器。使用Atmel 公司高密度非易失性存儲(chǔ)器技術(shù)制造,與工業(yè)80C51 產(chǎn)品指令和引腳完全兼容。片上Flash 允許程序存儲(chǔ)器在系統(tǒng)可編程,亦適于常規(guī)編程器。在單芯片上,擁有靈巧的8 位CPU 和在系統(tǒng)可編程Flash ,使得AT

17、89S52 為眾多嵌入式控制應(yīng)用系統(tǒng)提供高靈活、超有效的解決方案。AT89S52 具有以下標(biāo)準(zhǔn)功能:8k 字節(jié)Flash,256 字節(jié)RAM, 32 位I/O 口線,看門狗定時(shí)器,2 個(gè)數(shù)據(jù)指針,三個(gè)16 位定時(shí)器/計(jì)數(shù)器,一個(gè)6 向量2 級(jí)中斷結(jié)構(gòu),全雙工串行口,片內(nèi)晶振及時(shí)鐘電路。另外,AT89S52 可降至0Hz 靜態(tài)邏輯操作,支持2 種軟件可選擇節(jié)電模式??臻e模式下,CPU 停止工作,允許RAM 、定時(shí)器/計(jì)數(shù)器、串口、中斷繼續(xù)工作。掉電保護(hù)方式下,RAM 內(nèi)容被保存,振蕩器被凍結(jié), 單片機(jī)一切工作停止,直到下一個(gè)中斷或硬件復(fù)位為止。AT89S52引腳結(jié)構(gòu): 圖3-2 AT89S52的

18、PDIP封裝 圖3-3 AT89S52的PLCC封裝主要特性:(1) 與MCS-51 單片機(jī)產(chǎn)品兼容;(2) 8K 字節(jié)在系統(tǒng)可編程Flash 存儲(chǔ)器;(3) 1000 次擦寫周期;(4) 全靜態(tài)操作:0Hz33Hz ;(5) 三級(jí)加密程序存儲(chǔ)器;(6) 32 個(gè)可編程I/O 口線;(7) 三個(gè)16 位定時(shí)器/計(jì)數(shù)器;(8) 八個(gè)中斷源;(9) 全雙工UART 串行通道;(10)低功耗空閑和掉電模式;(11) 掉電后中斷可喚醒;(12) 看門狗定時(shí)器;(13) 雙數(shù)據(jù)指針;. (14)掉電標(biāo)識(shí)符.管腳說(shuō)明:VCC: 電源;GND: 接地;P0口:P0 口是一個(gè)8 位漏極開路的雙向I/O 口。作

19、為輸出口,每位能驅(qū)動(dòng)8 個(gè)TTL 邏輯電平。對(duì)P0 端口寫“1”時(shí),引腳用作高阻抗輸入。當(dāng)訪問(wèn)外部程序和數(shù)據(jù)存儲(chǔ)器時(shí),P0 口也被作為低8 位地址/數(shù)據(jù)復(fù)用。在這種下, P0 具有內(nèi)部上拉電阻。在flash 編程時(shí),P0 口也用來(lái)接收指令字節(jié);在程序校驗(yàn)時(shí),輸出指令字節(jié)。程序校驗(yàn)時(shí),需要外部上拉電阻。P1口:P1 口是一個(gè)具有內(nèi)部上拉電阻的8 位雙向I/O 口,p1 輸出緩沖器能驅(qū)動(dòng)4 個(gè)TTL 邏輯電平。對(duì)P1 端口寫“1”時(shí),內(nèi)部上拉電阻把端口拉高,此時(shí)可以作為輸入口使用。作為輸入使用時(shí),被外部拉低的引腳由于內(nèi)部電阻的原因,將輸出電流(IIL)。此外,P1.0 和P1.2 分別作定時(shí)器/計(jì)

20、數(shù)器2 的外部計(jì)數(shù)輸入(P1.0/T2)和時(shí)器/計(jì)數(shù)器2 的觸發(fā)輸入(P1.1/T2EX),具體如下表所示。表3-1 部分引腳第二功能在flash 編程和校驗(yàn)時(shí),P1 口接收低8 位地址字節(jié)。P2口:P2 口是一個(gè)具有內(nèi)部上拉電阻的8 位雙向I/O 口,P2 輸出緩沖器能驅(qū)動(dòng)4 個(gè)TTL 邏輯電平。對(duì)P2 端口寫“1”時(shí),內(nèi)部上拉電阻把端口拉高,此時(shí)可以作為輸入口使用。作為輸入使用時(shí),被外部拉低的引腳由于內(nèi)部電阻的原因,將輸出電流(IIL)。在訪問(wèn)外部程序存儲(chǔ)器或用16 位地址讀取外部數(shù)據(jù)存儲(chǔ)器(例如執(zhí)行MOVX DPTR) 時(shí),P2 口送出高八位地址。在這種應(yīng)用中,P2 口使用很強(qiáng)的內(nèi)部上拉

21、發(fā)送1。在使用8 位地址(如MOVX RI )訪問(wèn)外部數(shù)據(jù)存儲(chǔ)器時(shí),P2 口輸出P2 鎖存器的內(nèi)容。在flash 編程和校驗(yàn)時(shí),P2 口也接收高8 位地址字節(jié)和一些控制信號(hào)。P3 口:P3 口是一個(gè)具有內(nèi)部上拉電阻的8 位雙向I/O 口,p2 輸出緩沖器能驅(qū)動(dòng)4 個(gè)TTL 邏輯電平。對(duì)P3 端口寫“1”時(shí),內(nèi)部上拉電阻把端口拉高,此時(shí)可以作為輸入口使用。作為輸入使用時(shí),被外部拉低的引腳由于內(nèi)部電阻的原因,將輸出電流(IIL)。P3 口亦作為AT89S52 特殊功能(第二功能)使用,如下表所示。在flash 編程和校驗(yàn)時(shí),P3 口也接收一些控制信號(hào)。表 3-2 P3口引腳第二功能RST: 復(fù)位輸

22、入。晶振工作時(shí),RST 腳持續(xù)2 個(gè)機(jī)器周期高電平將使單片機(jī)復(fù)位??撮T狗計(jì)時(shí)完成后,RST 腳輸出96 個(gè)晶振周期的高電平。特殊寄存器AUXR( 地址8EH) 上的DISRTO 位可以使此功能無(wú)效。DISRTO 默認(rèn)狀態(tài)下,復(fù)位高電平有效。ALE/PROG:地址鎖存控制信號(hào)(ALE)是訪問(wèn)外部程序存儲(chǔ)器時(shí),鎖存低8 位地址的輸出脈沖。在flash 編程時(shí),此引腳(PROG )也用作編程輸入脈沖。在一般情況下,ALE 以晶振六分之一的固定頻率輸出脈沖,可用來(lái)作為外部定時(shí)器或時(shí)鐘使用。然而,特別強(qiáng)調(diào),在每次訪問(wèn)外部數(shù)據(jù)存儲(chǔ)器時(shí),ALE 脈沖將會(huì)跳過(guò)。如果需要,通過(guò)將地址為8EH 的SFR 的第0

23、位置“1”,ALE 操作將無(wú)效。這一位置“1”, ALE 僅在執(zhí)行MOVX 或MOVC 指令時(shí)有效。否則,ALE 將被微弱拉高。這個(gè)ALE 使能標(biāo)志位(地址為8EH 的SFR 的第0 位)的設(shè)置對(duì)微控制器處于外部執(zhí)行模式下無(wú)效。PSEN: 外部程序存儲(chǔ)器選通信號(hào)(PSEN)是外部程序存儲(chǔ)器選通信號(hào)。當(dāng)AT89S52 從外部程序存儲(chǔ)器執(zhí)行外部代碼時(shí),PSEN 在每個(gè)機(jī)器周期被激活兩次,而在訪問(wèn)外部數(shù)據(jù)存儲(chǔ)器時(shí),PSEN 將不被激活。EA/VPP: 訪問(wèn)外部程序存儲(chǔ)器控制信號(hào)。為使能從0000H 到FFFFH 的外部程序存儲(chǔ)器讀取指令,EA 必須接GND。為了執(zhí)行內(nèi)部程序指令,EA 應(yīng)該接VCC。

24、在flash 編程期間,EA 也接收12 伏VPP 電壓。XTAL1: 振蕩器反相放大器和內(nèi)部時(shí)鐘發(fā)生電路的輸入端。XTAL2: 振蕩器反相放大器的輸出端。振蕩器特性:XTAL1和XTAL2分別為反向放大器的輸入和輸出。該反向放大器可以配置為片內(nèi)振蕩器。石晶振蕩和陶瓷振蕩均可采用。如采用外部時(shí)鐘源驅(qū)動(dòng)器件,XTAL2應(yīng)不接。有余輸入至內(nèi)部時(shí)鐘信號(hào)要通過(guò)一個(gè)二分頻觸發(fā)器,因此對(duì)外部時(shí)鐘信號(hào)的脈寬無(wú)任何要求,但必須保證脈沖的高低電平要求的寬度。芯片擦除:整個(gè)PEROM陣列和三個(gè)鎖定位的電擦除可通過(guò)正確的控制信號(hào)組合,并保持ALE管腳處于低電平10ms 來(lái)完成。在芯片擦操作中,代碼陣列全被寫1且在任

25、何非空存儲(chǔ)字節(jié)被重復(fù)編程以前,該操作必須被執(zhí)行。此外,AT89C51設(shè)有穩(wěn)態(tài)邏輯,可以在低到零頻率的條件下靜態(tài)邏輯,支持兩種軟件可選的掉電模式。在閑置模式下,CPU停止工作。但RAM,定時(shí)器,計(jì)數(shù)器,串口和中斷系統(tǒng)仍在工作。在掉電模式下,保存RAM的內(nèi)容并且凍結(jié)振蕩器,禁止所用其他芯片功能,直到下一個(gè)硬件復(fù)位為止。時(shí)鐘電路:AT89S52片內(nèi)設(shè)有一個(gè)由反向放大器所構(gòu)成的振蕩電路,XTALl和XTAL2 分別為振蕩電路的輸入端和輸出端。時(shí)鐘可以由內(nèi)部方式產(chǎn)生或外部方式產(chǎn)生。如圖3.4所示:a) 內(nèi)時(shí)鐘方式 b) 外時(shí)鐘方式 3-4 時(shí)鐘電路圖內(nèi)部方式時(shí)鐘電路如圖3-4 a)所示。在XTAL1 和

26、XTAL2引腳上外接定時(shí)元件,內(nèi)部振蕩電路就產(chǎn)生自激振蕩。定時(shí)元件通常采用石英晶體和電容組成的并聯(lián)諧振回路。晶體可以在 1.2 MHZ到 12MHZ之間選擇,電容值在 530 PF之間選擇,電容的大小可起頻率微調(diào)作用。外部方式的時(shí)鐘電路如圖3-4 b)所示,XTALl接地;XTAL2接外部振蕩器。對(duì)外部振蕩信號(hào)無(wú)特殊要求,只要保證脈沖寬度,一般采用頻率低于 12MHZ的方波信號(hào)。時(shí)鐘發(fā)生器把振蕩頻率兩分頻,產(chǎn)生一個(gè)兩相時(shí)鐘信號(hào)從和地供單片機(jī)使用。P1在每一個(gè)狀態(tài)S的前半部分有效。P2在每個(gè)狀態(tài)的后半部分有效。復(fù)位和復(fù)位電路:AT89S52單片機(jī)的復(fù)位電路如圖3.5所示。在RESET(圖中表示為

27、RST)輸入端出現(xiàn)高電平時(shí)實(shí)現(xiàn)復(fù)位和初始化。在振蕩器運(yùn)行的情況下,要實(shí)現(xiàn)復(fù)位操作,必須使RST引腳至少保持兩個(gè)機(jī)器周期(24個(gè)振蕩器周期)高電平。CPU在第二個(gè)機(jī)器周期內(nèi)執(zhí)行內(nèi)部復(fù)位操作、以后每一個(gè)機(jī)器周期重復(fù)一次,直至 RST端電平變低。復(fù)位期間不產(chǎn)生 ALE及PSEN信號(hào)。a)上電復(fù)位 b)開關(guān)復(fù)位 3-5 復(fù)位電路圖 3.2.2 74HC59574HC595是具有8位移位寄存器和一個(gè)存儲(chǔ)器,三態(tài)輸出功能。 移位寄存器和存儲(chǔ)器是分別的時(shí)鐘。數(shù)據(jù)在SCHcp的上升沿輸入,在STcp的上升沿進(jìn)入的存儲(chǔ)寄存器中去。如果兩個(gè)時(shí)鐘連在一起,則移位寄存器總是比存儲(chǔ)寄存器早一個(gè)脈沖。移位寄存器有一個(gè)串行

28、移位輸入(Ds),和一個(gè)串行輸出(Q7),和一個(gè)異步的低電平復(fù)位,存儲(chǔ)寄存器有一個(gè)并行8位的,具備三態(tài)的總線輸出,當(dāng)使能 OE時(shí)(為低電平),存儲(chǔ)寄存器的數(shù)據(jù)輸出到總線。(1)引腳結(jié)構(gòu)圖圖3-6 74HC595引腳圖(2)引腳說(shuō)明74595的數(shù)據(jù)端:QA-QH: 八位并行輸出端,可以直接控制數(shù)碼管的8個(gè)段。QH: 級(jí)聯(lián)輸出端。我將它接下一個(gè)595的SI端。SI: 串行數(shù)據(jù)輸入端。74595的控制端說(shuō)明:/SCLR(10腳): 低點(diǎn)平時(shí)將移位寄存器的數(shù)據(jù)清零。通常我將它接Vcc。SCK(11腳):上升沿時(shí)數(shù)據(jù)寄存器的數(shù)據(jù)移位。QA-QB-QC-.-QH;下降沿移位寄存器數(shù)據(jù)不變。(脈沖寬度:5V

29、時(shí),大于幾十納秒就行了。我通常都選微秒級(jí))RCK(12腳):上升沿時(shí)移位寄存器的數(shù)據(jù)進(jìn)入數(shù)據(jù)存儲(chǔ)寄存器,下降沿時(shí)存儲(chǔ)寄存器數(shù)據(jù)不變。通常我將RCK置為低電平,當(dāng)移位結(jié)束后,在RCK端產(chǎn)生一個(gè)正脈沖(5V時(shí),大于幾十納秒就行了。我通常都選微秒級(jí)),更新顯示數(shù)據(jù)。/G(13腳): 高電平時(shí)禁止輸出(高阻態(tài))。如果單片機(jī)的引腳不緊張,用一個(gè)引腳控制它,可以方便地產(chǎn)生閃爍和熄滅效果。比通過(guò)數(shù)據(jù)端移位控制要省時(shí)省力。注:74164和74595功能相仿,都是8位串行輸入轉(zhuǎn)并行輸出移位寄存器。74164的驅(qū)動(dòng)電流(25mA)比74595(35mA)的要小,14腳封裝,體積也小一些。74595的主要優(yōu)點(diǎn)是具有

30、數(shù)據(jù)存儲(chǔ)寄存器,在移位的過(guò)程中,輸出端的數(shù)據(jù)可以保持不變。這在串行速度慢的場(chǎng)合很有用處,數(shù)碼管沒(méi)有閃爍感。與164只有數(shù)據(jù)清零端相比,595還多有輸出端時(shí)能/禁止控制端,可以使輸出為高阻態(tài)。3.3 LED顯示原理介紹本設(shè)計(jì)選用價(jià)格低廉的發(fā)光二極管LED顯示器作為顯示部分的顯示器件,下面介紹LED顯示器的工作原理。(1) LDE發(fā)光二極管的發(fā)光原理發(fā)光二極管是由-族化合物,如GaAs(砷化鎵)、GaP(磷化鎵)、GaAsP(磷砷化鎵)等半導(dǎo)體制成的,其核心是PN結(jié)。因此它具有一般P-N結(jié)的I-N特性,即正向?qū)?,反向截止、擊穿特性。此外,在一定條件下,它還具有發(fā)光特性。在正向電壓下,電子由N區(qū)注

31、入P區(qū),空穴由P區(qū)注入N區(qū)。進(jìn)入對(duì)方區(qū)域的少數(shù)載流子(少子)一部分與多數(shù)載流子(多子)復(fù)合而發(fā)光,如圖3-7所示。圖3.7 發(fā)光二極管發(fā)光原理假設(shè)發(fā)光是在P區(qū)中發(fā)生的,那么注入的電子與價(jià)帶空穴直接復(fù)合而發(fā)光,或者先被發(fā)光中心捕獲后,再與空穴復(fù)合發(fā)光。除了這種發(fā)光復(fù)合外,還有些電子被非發(fā)光中心(這個(gè)中心介于導(dǎo)帶、介帶中間附近)捕獲,而后再與空穴復(fù)合,每次釋放的能量不大,不能形成可見光。發(fā)光的復(fù)合量相對(duì)于非發(fā)光復(fù)合量的比例越大,光量子效率越高。由于復(fù)合是在少子擴(kuò)散區(qū)內(nèi)發(fā)光的,所以光僅在靠近PN結(jié)面數(shù)m以內(nèi)產(chǎn)生。理論和實(shí)踐證明,光的峰值波長(zhǎng)與發(fā)光區(qū)域的半導(dǎo)體材料禁帶寬度Eg有關(guān),即1240/Eg(m

32、m)式中Eg的單位為電子伏特(eV)。若能產(chǎn)生可見光(波長(zhǎng)在380nm紫光780nm紅光),半導(dǎo)體材料的Eg應(yīng)在3.261.63eV之間。比紅光波長(zhǎng)長(zhǎng)的光為紅外光?,F(xiàn)在已有紅外、紅、黃、綠及藍(lán)光二極管,但其中藍(lán)光二極管成本、價(jià)格很高,使用不普遍。(2) LED數(shù)碼顯示器的結(jié)構(gòu)LED是用發(fā)光二極管顯示字段的顯示器件,也可稱為數(shù)碼管,其外形如下圖3.6所示。 圖3.8 數(shù)碼管結(jié)構(gòu)圖它由8個(gè)發(fā)光二極管構(gòu)成,通過(guò)不同的組合可用來(lái)顯示數(shù)字0 9、字符A F、H、L、P、R、U、Y、符號(hào)“-”及小數(shù)點(diǎn)“.”。數(shù)碼管的外形結(jié)構(gòu)如圖3.9所示。數(shù)碼管又分為共陰極和共陽(yáng)極兩種結(jié)構(gòu)。由圖3.9可見,它有8個(gè)發(fā)光二

33、極管陽(yáng)極連在一起作為公共端;而共陰極是將8個(gè)發(fā)光二極管陰極連在一起作為公共端。本設(shè)計(jì)采用的是共陽(yáng)極的。數(shù)碼管的公共端相當(dāng)于一個(gè)總開關(guān),一般稱為碼位開關(guān),當(dāng)它低電平的時(shí)候數(shù)碼管全滅;當(dāng)它為高電平時(shí),根據(jù)發(fā)光二極管陽(yáng)極的狀態(tài)(一般成為段碼或字型碼),低電平該段亮,高電平不亮。輸出一個(gè)段碼就可以控制LED顯示器的字型。表3.5給出了段碼與字型的關(guān)系,假定a,b,c,d,e,f,dp分別對(duì)應(yīng)D0,D1,D2,D3,D4,D5,D6,D7。(3) LED數(shù)碼顯示器的接口方法LED顯示器的接口常分為動(dòng)態(tài)掃描方式和靜態(tài)顯示方式。表3.5 段碼與字形碼的關(guān)系字形D7dpD6gD5fD4eD3dD2cD1bD0

34、a段碼01100000003H1111110019FH21010010045H3101100000DH41001100199H51001001029H61000001021H7111110001FH81000000001H91001000009HA1000100011HB1000001041HC1100011063HD1010000185HE1000011061HF1000111071H靜態(tài)顯示是指數(shù)碼管顯示某一字符時(shí),相應(yīng)的發(fā)光二極管恒定導(dǎo)通或恒定截止。這種顯示方式的各位數(shù)碼管相互獨(dú)立,公共端恒定接地(共陰極)或接正電源(共陽(yáng)極)。每個(gè)數(shù)碼管的8個(gè)字段分別與一個(gè)8位I/O口地址相連,I/O口

35、只要有段碼輸出,相應(yīng)字符即顯示出來(lái),并保持不變,直到I/O口輸出新的段碼。采用靜態(tài)顯示方式,較小的電流即可獲得較高的亮度,且占用CPU時(shí)間少,編程簡(jiǎn)單,顯示便于監(jiān)測(cè)和控制,但其占用的口線多,硬件電路復(fù)雜,成本高,只適合于顯示位數(shù)較少的場(chǎng)合。動(dòng)態(tài)掃描顯示方式:其工作原理是將多個(gè)顯示器的段碼同名端連在一起,位碼分別控制,利用眼睛的余輝暫留效應(yīng),分別進(jìn)行顯示。只要保證一定的顯示頻率,看起來(lái)的效果和一直顯示是一樣的,但在電路上卻簡(jiǎn)化了很多,降低了成本。比如要做四位LED顯示,靜態(tài)顯示方式則需要4塊74LS164作為靜態(tài)顯示接口,如用動(dòng)態(tài)掃描顯示則只需一塊即可。通常也用8155芯片作為動(dòng)態(tài)掃描顯示接口的

36、擴(kuò)展,擴(kuò)展電路在此就不做敘述了,本設(shè)計(jì)采用的是串行口動(dòng)態(tài)掃描方式。動(dòng)態(tài)顯示是一位一位地輪流點(diǎn)亮各位數(shù)碼管,這種逐位點(diǎn)亮顯示器的方式稱為位掃描。通常,各位數(shù)碼管的段選線相應(yīng)并聯(lián)在一起,由一個(gè)8位的I/O口控制;各位的位選線(公共陰極或陽(yáng)極)由另外的I/O口線控制。動(dòng)態(tài)方式顯示時(shí),各數(shù)碼管分時(shí)輪流選通,要使其穩(wěn)定顯示,必須采用掃描方式,即在某一時(shí)刻只選通一位數(shù)碼管,并送出相應(yīng)的段碼,在另一時(shí)刻選通另一位數(shù)碼管,并送出相應(yīng)的段碼。依此規(guī)律循環(huán),即可使各位數(shù)碼管顯示將要顯示的字符。雖然這些字符是在不同的時(shí)刻分別顯示,但由于人眼存在視覺暫留效應(yīng),只要每位顯示間隔足夠短就可以給人以同時(shí)顯示的感覺。3.4

37、鍵盤控制原理介紹 3.4.1 鍵盤的工作原理(1) 鍵盤的分類按鍵按結(jié)構(gòu)原理可分為兩類,一類是觸點(diǎn)式開關(guān)按鍵,如機(jī)械式開關(guān)、導(dǎo)電橡膠式開關(guān)等;另一類是無(wú)觸點(diǎn)式開關(guān)按鍵,如電氣式按鍵,磁感應(yīng)按鍵等。前者造價(jià)低,后者壽命長(zhǎng)。目前,微機(jī)系統(tǒng)中最常見的是觸點(diǎn)式開關(guān)按鍵。 按鍵按照接口原理可分為編碼鍵盤與非編碼鍵盤兩類,這兩類鍵盤的主要區(qū)別是識(shí)別鍵符及給出相應(yīng)鍵碼的方法。編碼鍵盤主要是用硬件來(lái)實(shí)現(xiàn)對(duì)鍵的識(shí)別,非編碼鍵盤主要是由軟件來(lái)實(shí)現(xiàn)鍵盤的定義與識(shí)別。全編碼鍵盤能夠由硬件邏輯自動(dòng)提供與鍵對(duì)應(yīng)的編碼,此外,一般還具有去抖動(dòng)和多鍵、竄鍵保護(hù)電路。這種鍵盤使用方便,但需要較多的硬件,價(jià)格較貴,一般的單片機(jī)應(yīng)

38、用系統(tǒng)較少采用。非編碼鍵盤只簡(jiǎn)單地提供行和列的矩陣,其它工作均由軟件完成。由于其經(jīng)濟(jì)實(shí)用,較多地應(yīng)用于單片機(jī)系統(tǒng)中。本設(shè)計(jì)采用非編碼鍵盤接口。(2) 按鍵輸入原理在單片機(jī)應(yīng)用系統(tǒng)中,除了復(fù)位按鍵有專門的復(fù)位電路及專一的復(fù)位功能外,其它按鍵都是以開關(guān)狀態(tài)來(lái)設(shè)置控制功能或輸入數(shù)據(jù)的。當(dāng)所設(shè)置的功能鍵或數(shù)字鍵按下時(shí),計(jì)算機(jī)應(yīng)用系統(tǒng)應(yīng)完成該按鍵所設(shè)定的功能,鍵信息輸入是與軟件結(jié)構(gòu)密切相關(guān)的過(guò)程。對(duì)于一組鍵或一個(gè)鍵盤,總有一個(gè)接口電路與CPU相連。CPU可以采用查詢或中斷方式了解有無(wú)將鍵輸入,并檢查是哪一個(gè)鍵按下,將該鍵號(hào)送入累加器ACC,然后通過(guò)跳轉(zhuǎn)指令轉(zhuǎn)入執(zhí)行該鍵的功能程序,執(zhí)行完后再返回主程序。(

39、3)按鍵結(jié)構(gòu)與特點(diǎn) 機(jī)鍵盤通常使用機(jī)械觸點(diǎn)式按鍵開關(guān),其主要功能是把機(jī)械上的通斷轉(zhuǎn)換成為電氣上的邏輯關(guān)系。也就是說(shuō),它能提供標(biāo)準(zhǔn)的TTL邏輯電平,以便與通用數(shù)字系統(tǒng)的邏輯電平相容。機(jī)械式按鍵再按下或釋放時(shí),由于機(jī)械彈性作用的影響,通常伴隨有一定時(shí)間的觸點(diǎn)機(jī)械抖動(dòng),然后其觸點(diǎn)才穩(wěn)定下來(lái)。其抖動(dòng)過(guò)程如圖3.10抖動(dòng)時(shí)間的長(zhǎng)短與開關(guān)的機(jī)械特性有關(guān),一般為510 ms。在觸點(diǎn)抖動(dòng)期間檢測(cè)按鍵的通與斷狀態(tài),可能導(dǎo)致判斷出錯(cuò),即按鍵一次按下或釋放被錯(cuò)誤地認(rèn)為是多次操作,這種情況是不允許出現(xiàn)的。為了克服按鍵觸點(diǎn)機(jī)械抖動(dòng)所致的檢測(cè)誤判,必須采取去抖動(dòng)措施。這一點(diǎn)可從硬件、軟件兩方面予以考慮。在鍵數(shù)較少時(shí),可采

40、用硬件去抖,而當(dāng)鍵數(shù)較多時(shí),采用軟件去抖。在硬件上可采用在鍵輸出端加R-S觸發(fā)器(雙穩(wěn)態(tài)觸發(fā)器)或單穩(wěn)態(tài)觸發(fā)器構(gòu)成去抖動(dòng)電路。圖3.11是一種由R-S觸發(fā)器構(gòu)成的去抖動(dòng)電路,當(dāng)觸發(fā)器一旦翻轉(zhuǎn),觸點(diǎn)抖動(dòng)不會(huì)對(duì)其產(chǎn)生任何影響。圖3-9 鍵觸點(diǎn)的機(jī)械抖動(dòng)圖3-10 雙穩(wěn)態(tài)去抖電路電路工作過(guò)程如下:按鍵未按下時(shí),a = 0,b = 1,輸出Q = 1。按鍵按下時(shí),因按鍵的機(jī)械彈性作用的影響,使按鍵產(chǎn)生抖動(dòng)。當(dāng)開關(guān)沒(méi)有穩(wěn)定到達(dá)b端時(shí),因與非門2輸出為0反饋到與非門1的輸入端,封鎖了與非門1,雙穩(wěn)態(tài)電路的狀態(tài)不會(huì)改變,輸出保持為1,輸出Q不會(huì)產(chǎn)生抖動(dòng)的波形。當(dāng)開關(guān)穩(wěn)定到達(dá)b端時(shí),因a = 1,b = 0,

41、使Q = 0,雙穩(wěn)態(tài)電路狀態(tài)發(fā)生翻轉(zhuǎn)。當(dāng)釋放按鍵時(shí),在開關(guān)未穩(wěn)定到達(dá)a端時(shí),因Q = 0,封鎖了與非門2,雙穩(wěn)態(tài)電路的狀態(tài)不變,輸出Q保持不變,消除了后沿的抖動(dòng)波形。當(dāng)開關(guān)穩(wěn)定到達(dá)a端時(shí),因a = 0,b = 0,使Q = 1,雙穩(wěn)態(tài)電路狀態(tài)發(fā)生翻轉(zhuǎn),輸出Q重新返回原狀態(tài)。由此可見,鍵盤輸出經(jīng)雙穩(wěn)態(tài)電路之后,輸出已變?yōu)橐?guī)范的矩形方波。 軟件上采取的措施是:在檢測(cè)到有按鍵按下時(shí),執(zhí)行一個(gè)10 ms左右(具體時(shí)間應(yīng)視所使用的按鍵進(jìn)行調(diào)整)的延時(shí)程序后,再確認(rèn)該鍵電平是否仍保持閉合狀態(tài)電平,若仍保持閉合狀態(tài)電平,則確認(rèn)該鍵處于閉合狀態(tài)。同理,在檢測(cè)到該鍵釋放后,也應(yīng)采用相同的步驟進(jìn)行確認(rèn),從而可消除

42、抖動(dòng)的影響。(4) 按鍵編碼一組按鍵或鍵盤都要通過(guò)I/O口線查詢按鍵的開關(guān)狀態(tài)。根據(jù)鍵盤結(jié)構(gòu)的不同,采用不同的編碼。無(wú)論有無(wú)編碼,以及采用什么編碼,最后都要轉(zhuǎn)換成為與累加器中數(shù)值相對(duì)應(yīng)的鍵值,以實(shí)現(xiàn)按鍵功能程序的跳轉(zhuǎn)。(5) 編制鍵盤程序 一個(gè)完善的鍵盤控制程序應(yīng)具備以下功能:檢測(cè)有無(wú)按鍵按下,并采取硬件或軟件措施,消除鍵盤按鍵機(jī)械觸點(diǎn)抖動(dòng)的影響。有可靠的邏輯處理辦法。每次只處理一個(gè)按鍵,其間對(duì)任何按鍵的操作對(duì)系統(tǒng)不產(chǎn)生影響,且無(wú)論一次按鍵時(shí)間有多長(zhǎng),系統(tǒng)僅執(zhí)行一次按鍵功能程序。準(zhǔn)確輸出按鍵值(或鍵號(hào)),以滿足跳轉(zhuǎn)指令要求。3.4.2 獨(dú)立式鍵盤獨(dú)立式按鍵是直接用I/O口線構(gòu)成的單個(gè)按鍵電路,

43、其特點(diǎn)是每個(gè)按鍵單獨(dú)占用一根I/O口線,每個(gè)按鍵的工作不會(huì)影響其它I/O口線的狀態(tài)。獨(dú)立式按鍵的典型應(yīng)用如圖3.11所示。獨(dú)立式按鍵電路配置靈活,軟件結(jié)構(gòu)簡(jiǎn)單,但每個(gè)按鍵必須占用一根I/O口線,因此,在按鍵較多時(shí),I/O口線浪費(fèi)較大,不宜采用。圖3-11 獨(dú)立式按鍵電路獨(dú)立式按鍵的軟件常采用查詢式結(jié)構(gòu)。先逐位查詢每根I/O口線的輸入狀態(tài),如某一根I/O口線輸入為低電平,則可確認(rèn)該I/O口線所對(duì)應(yīng)的按鍵已按下,然后,再轉(zhuǎn)向該鍵的功能處理程序。此程序比較簡(jiǎn)單,在此不做編寫,本設(shè)計(jì)采用的是矩陣掃描鍵盤。3.4.3 矩陣式鍵盤單片機(jī)系統(tǒng)中,若使用按鍵較多時(shí),通常采用矩陣式(也稱行列式)鍵盤。本設(shè)計(jì)采用

44、的就是矩陣式鍵盤。(1) 矩陣式鍵盤的結(jié)構(gòu)及原理矩陣式鍵盤由行線和列線組成,按鍵位于行、列線的交叉點(diǎn)上,其結(jié)構(gòu)如圖3.13所示。由圖可知,一個(gè)44的行、列結(jié)構(gòu)可以構(gòu)成一個(gè)含有16個(gè)按鍵的鍵盤,顯然,在按鍵數(shù)量較多時(shí),矩陣式鍵盤較之獨(dú)立式按鍵鍵盤要節(jié)省很多I/O口。矩陣式鍵盤中,行、列線分別連接到按鍵開關(guān)的兩端,行線通過(guò)上拉電阻接到5V上。當(dāng)無(wú)鍵按下時(shí),行線處于高電平狀態(tài);當(dāng)有鍵按下時(shí),行、列線將導(dǎo)通,此時(shí),行線電平將由與此行線相連的列線電平?jīng)Q定。這是識(shí)別按鍵是否按下的關(guān)鍵。然而,矩陣鍵盤中的行線、列線和多個(gè)鍵相連,各按鍵按下與否均影響該鍵所在行線和列線的電平,各按鍵間將相互影響,因此,必須將行

45、線、列線信號(hào)配合起來(lái)作適當(dāng)處理,才能確定閉合鍵的位置。(2) 矩陣式鍵盤按鍵識(shí)別按鍵的方法很多,掃描法識(shí)別按鍵的過(guò)程. 圖3-12 矩陣鍵盤按鍵按下時(shí),與此鍵相連的行線與列線導(dǎo)通,行線在無(wú)鍵按下時(shí)處在高電平顯然,如果讓所有的列線也處在高電平,那么,按鍵按下與否不會(huì)引起行電平的變化,因此,必須使所有列線處在低電平。只有這樣,當(dāng)有鍵按下時(shí),該鍵所在的行電平才會(huì)由高電平變?yōu)殒I盤的編碼.(3)對(duì)于獨(dú)立式按鍵鍵盤,因按鍵數(shù)量少,可根據(jù)實(shí)際需要靈活編碼。對(duì)于矩陣式鍵盤,按鍵的位置由行號(hào)和列號(hào)惟一確定,因此可分別對(duì)行號(hào)和列號(hào)進(jìn)行二進(jìn)制編碼,然后將兩值合成一個(gè)字節(jié),高4位是行號(hào),低4位是列號(hào)。如圖3.12中的

46、8號(hào)鍵,它位于第2行,第0列,因此,其鍵盤編碼應(yīng)為20H。采用上述編碼對(duì)于不同行的鍵離散性較大,不利于散轉(zhuǎn)指令對(duì)按鍵進(jìn)行處理。因此,可采用依次排列鍵號(hào)的方式對(duì)按排進(jìn)行編碼。以圖3.12中的44鍵盤為例,可將鍵號(hào)編碼為:01H、02H、03H、0EH、0FH、10H等16個(gè)鍵號(hào)。編碼相互轉(zhuǎn)換可通過(guò)計(jì)算或查表的方法實(shí)現(xiàn)。 (4)鍵盤的工作方式對(duì)鍵盤的響應(yīng)取決于鍵盤的工作方式,鍵盤的工作方式應(yīng)根據(jù)實(shí)際應(yīng)用系統(tǒng)中CPU的工作狀況而定,其選取的原則是既要保證CPU能及時(shí)響應(yīng)按鍵操作,又不要過(guò)多占用CPU的工作時(shí)間。通常,鍵盤的工作方式有三種,即編程掃描、定時(shí)掃描和中斷掃描。(5)編程掃描方式編程掃描方式

47、是利用CPU完成其它工作的空余時(shí)間,調(diào)用鍵盤掃描子程序來(lái)響應(yīng)鍵盤輸入的要求。在低電平。CPU根據(jù)行電平的變化,便能判定相應(yīng)的行有鍵按下。8號(hào)鍵按下時(shí),第2行一定為低電平。然而,第2行為低電平時(shí),能否肯定是8號(hào)鍵按下呢?回答是否定的,因?yàn)?、10、11號(hào)鍵按下,同樣會(huì)使第2行為低電平。為進(jìn)一步確定具體鍵,不能使所有列線在同一時(shí)刻都處在低電平,可在某一時(shí)刻只讓一條列線處于低電平,其余列線均處于高電平,另一時(shí)刻,讓下一列處在低電平,依此循環(huán),這種依次輪流每次選通一列的工作方式稱為鍵盤掃描。采用鍵盤掃描后,再來(lái)觀察8號(hào)鍵按下時(shí)的工作過(guò)程,當(dāng)?shù)?列處于低電平時(shí),第2行處于低電平,而第1、2、3列處于低電

48、平時(shí),第2行卻處在高電平,由此可判定按下的鍵應(yīng)是第2行與第0列的交叉點(diǎn),即8號(hào) 執(zhí)行鍵功能程序時(shí),CPU不再響應(yīng)鍵輸入要求,直到CPU重新掃描鍵盤為止。鍵盤掃描程序一般應(yīng)包括以下內(nèi)容:判別有無(wú)鍵按下;鍵盤掃描取得閉合鍵的行、列值;用計(jì)算法或查表法得到鍵值;判斷閉合鍵是否釋放,如沒(méi)釋放則繼續(xù)等待;將閉合鍵鍵號(hào)保存,同時(shí)轉(zhuǎn)去執(zhí)行該閉合鍵的功能。(6)定時(shí)掃描方式定時(shí)掃描方式就是每隔一段時(shí)間對(duì)鍵盤掃描一次,它利用單片機(jī)內(nèi)部的定時(shí)器產(chǎn)生一定時(shí)間(例如10 ms)的定時(shí),當(dāng)定時(shí)時(shí)間到就產(chǎn)生定時(shí)器溢出中斷。CPU響應(yīng)中斷后對(duì)鍵盤進(jìn)行掃描,并在有鍵按下時(shí)識(shí)別出該鍵,再執(zhí)行該鍵的功能程序。定時(shí)掃描方式的硬件電

49、路與編程掃描方式相同。(7)中斷掃描方式種鍵盤掃描方式時(shí),無(wú)論是否按鍵,CPU都要定時(shí)掃描鍵盤,而單片機(jī)應(yīng)用系統(tǒng)工作時(shí),并非經(jīng)常需要鍵盤輸入,因此,CPU經(jīng)常處于空掃描狀態(tài)。為提高CPU工作效率,可采用中斷掃描工作方式。其工作過(guò)程如下:當(dāng)無(wú)鍵按下時(shí),CPU處理自己的工作,當(dāng)有鍵按下時(shí),產(chǎn)生中斷請(qǐng)求,CPU轉(zhuǎn)去執(zhí)行鍵盤掃描子程序,并識(shí)別鍵。圖3-13 中斷掃描鍵盤電路圖3-13是一種簡(jiǎn)易鍵盤接口電路,該鍵盤是由8031 P1口的高、低字節(jié)構(gòu)成的44鍵盤。鍵盤的列線與P1口的高4位相連,鍵盤的行線與P1口的低4位相連,因此,P1.4P1.7是鍵輸出線,P1.0P1.3是掃描輸入線。圖中的4輸入與門

50、用于產(chǎn)生按鍵中斷,其輸入端與各列線相連,再通過(guò)上拉電阻接至+5 V電源,輸出端接至8031的外部中斷輸入端。具體工作如下:當(dāng)鍵盤無(wú)鍵按下時(shí),與門各輸入端均為高電平,保持輸出端為高電平;當(dāng)有鍵按下時(shí),端為低電平,向CPU申請(qǐng)中斷,若CPU開放外部中斷,則會(huì)響應(yīng)中斷請(qǐng)求,轉(zhuǎn)去執(zhí)行鍵盤掃描子程序。3.5 本章小結(jié) 本章對(duì)硬件電路的設(shè)計(jì)和電路所用到的芯片進(jìn)行了介紹。主要是鍵盤控制電路與顯示電路的原理和功能,并對(duì)LED顯示原理進(jìn)行了介紹。下面將根據(jù)以上說(shuō)介紹的原理設(shè)計(jì)鍵盤控制及顯示硬件電路。第4章 鍵盤控制及顯示硬件電路實(shí)現(xiàn)本章將根據(jù)上面所介紹的原理及芯片功能設(shè)計(jì)鍵盤控制及顯示的硬件實(shí)現(xiàn)電路,鍵盤控制及

51、顯示電路的設(shè)計(jì)流程是:鍵盤按鍵按下,輸入該鍵盤鍵值,經(jīng)CPU處理后輸出,由LED顯示器顯示所按的鍵值。其工作原理:當(dāng)有鍵按下時(shí),CPU將對(duì)整個(gè)鍵盤進(jìn)行掃描,確定按鍵位置,讀取鍵值;CPU再將所讀取的鍵值送到顯示部分,最后通過(guò)LED顯示器顯示鍵值。其總體框圖如圖,總電路原理圖見(附錄2)。圖4-1 電路總設(shè)計(jì)框圖4.1 LED顯示電路設(shè)計(jì)單片機(jī)并行I/O口數(shù)量總是有限的,有時(shí)并行口需作其他更重要的用途,一般也不會(huì)用數(shù)量眾多的并行I/O口專門用來(lái)驅(qū)動(dòng)顯示電路,因此本設(shè)計(jì)采用了AT89S52的串行通信口靜態(tài)顯示。AT89S52的串行通信口是一個(gè)功能強(qiáng)大的通信口,而且是相當(dāng)好用的通信口,用于顯示驅(qū)動(dòng)電

52、路再合適不過(guò)了,下面我們就根據(jù)這種需要設(shè)計(jì)一個(gè)用兩個(gè)串行通信口線設(shè)計(jì)一個(gè)8位LED顯示電路。下圖即是電路原理圖,我采用AT89S52單片機(jī),同時(shí)用廉價(jià)易得的74HC595作為驅(qū)動(dòng)芯片。74HC595是一個(gè)8位串入并出的移位寄存器,其此處的功能是將AT89S52串行通信口輸出的串行數(shù)據(jù)譯碼并在其并口線上輸出,從而驅(qū)動(dòng)LED數(shù)碼管。使用串行口進(jìn)行LED通信,程序編寫相當(dāng)簡(jiǎn)單,只需將需顯示的數(shù)據(jù)直接送串口發(fā)送緩沖器,等待串行中斷即可,程序見附錄,電路原理如圖4-2。圖4-2 串行顯示電路采用靜態(tài)顯示技術(shù),畫面穩(wěn)定,無(wú)雜點(diǎn),圖像效果清晰;視頻效果流暢。 LED顯示器是由發(fā)光二極管排列組成的一顯示器件,

53、它采用低電壓掃描驅(qū)動(dòng),同時(shí)還具有如下優(yōu)點(diǎn):耗電省,使用壽命長(zhǎng),成本低,亮度高,視角大,規(guī)格品種多等,因此本設(shè)計(jì)采用LED數(shù)碼顯示器作為顯示部分的重要元件。4.2 獨(dú)立按鍵鍵盤的電路設(shè)計(jì)本設(shè)計(jì)采用的是8鍵獨(dú)立式鍵盤,掃描方法采用編程掃描方式,其鍵盤部分電路圖如下:圖4-3 獨(dú)立按鍵電路圖本設(shè)計(jì)采用的是一種行掃描法,行掃描法又稱為逐行(或列)掃描查詢法,是一種最常用的按鍵識(shí)別方法,如上圖所示鍵盤,其掃描過(guò)程如下:(1) 判斷鍵盤中有無(wú)鍵按下:將全部行線Y0-Y3置低電平,然后檢測(cè)列線的狀態(tài)。只要有一列的電平為低,則表示鍵盤中有鍵被按下,而且閉合的鍵位于低電平線與4根行線相交叉的4個(gè)按鍵之中。若所有

54、列線均為高電平,則鍵盤中無(wú)鍵按下。(2) 判斷閉合鍵所在的位置:在確認(rèn)有鍵按下后,即可進(jìn)入確定具體閉合鍵的過(guò)程。其方法是:依次將行線置為低電平,即在置某根行線為低電平時(shí),其它線為高電平。在確定某根行線位置為低電平后,再逐行檢測(cè)各列線的電平狀態(tài)。若某列為低,則該列線與置為低電平的行線交叉處的按鍵就是閉合的按鍵。比如,如圖4.3,89C51的P1口用作鍵盤I/O口,鍵盤的列線接到P1口的低4位,鍵盤的行線接到P1口的高4位。行線P1.4-P1.7分別接有4個(gè)上拉電阻到正電源+5V,并把列線P1.0-P1.3設(shè)置為輸入線,行線P1.4-P.17設(shè)置為輸出線。4根行線和4根列線形成16個(gè)相交點(diǎn)。檢測(cè)當(dāng)

55、前是否有鍵被按下。檢測(cè)的方法是P1.4-P1.7輸出全“1”,讀取P1.0-P1.3的狀態(tài),若P1.0-P1.3為全“0”,則無(wú)鍵閉合,否則有鍵閉合;去除鍵抖動(dòng)。當(dāng)檢測(cè)到有鍵按下后,延時(shí)一段時(shí)間再做下一步的檢測(cè)判斷;若有鍵被按下,應(yīng)識(shí)別出是哪一個(gè)鍵閉合。方法是對(duì)鍵盤的行線進(jìn)行掃描P1.4-P1.7按下述4種組合依次輸出:P1.7 1 1 1 0P1.6 1 1 0 1P1.5 1 0 1 1P1.4 0 1 1 1在每組行輸出時(shí)讀取P1.0-P1.3,若全為“1”,則表示為“0”這一行沒(méi)有鍵閉合,否則有鍵閉合。由此得到閉合鍵的行值和列值,然后可采用計(jì)算法或查表法將閉合鍵的行值和列值轉(zhuǎn)換成所定義

56、的鍵值。為了保證鍵每閉合一次CPU僅作一次處理,必須卻除鍵釋放時(shí)的抖動(dòng)。4.3 硬件的焊接4.3.1 硬件的焊接硬件的焊接是本次設(shè)計(jì)的重要環(huán)節(jié),所用的工具為:30W電烙鐵,焊錫和鑷子。因?yàn)楸敬卧O(shè)計(jì)電路組成相對(duì)簡(jiǎn)單,因此采用實(shí)驗(yàn)板焊接電路。實(shí)驗(yàn)板上沒(méi)有連通的導(dǎo)線,所有元器件都要用導(dǎo)線連接,這就要求一定的焊接技能,不過(guò)此技能在以前的電裝實(shí)習(xí)中已經(jīng)學(xué)過(guò)并熟練掌握。焊接前先要將所需材料準(zhǔn)備好,把電路所需元器件按合理的布局在實(shí)驗(yàn)板上排好,這樣焊接線路比較明朗,不容易出錯(cuò),檢查時(shí)也比較容易。(1) 低座的焊接本次設(shè)計(jì)一共有九個(gè)底座,即1個(gè)AT89S52,8個(gè)74HC595。焊接時(shí),先將低座按照原先排好的布

57、局插到電路板上,因?yàn)槊總€(gè)管腳幾間隙都很小,因此在焊接是都要很仔細(xì),焊錫不要太多,焊點(diǎn)不要太大,這樣可以防止管腳之間的短路,同時(shí)在焊接時(shí)不能形成虛焊,虛焊會(huì)導(dǎo)致電路的斷路,底座焊接要穩(wěn),松動(dòng)也會(huì)容易導(dǎo)致虛焊。(2) 鍵盤的焊接本次設(shè)計(jì)鍵盤由8個(gè)普通開關(guān)按鍵按1行的形式組成。我所選用的普通開關(guān)按鍵有四個(gè)腳,其中有兩個(gè)腳是導(dǎo)通的,如果在焊接時(shí)不小心將導(dǎo)通的兩端作為按鍵則按鍵將不起作用,因此在焊接前應(yīng)使用萬(wàn)用表檢查哪兩個(gè)腳為導(dǎo)通端,這樣會(huì)避免按鍵無(wú)作用情況的發(fā)生。(3) 導(dǎo)線的焊接電路中導(dǎo)線是用來(lái)連接元器件的,它相當(dāng)于整個(gè)電路的血管。導(dǎo)線是整個(gè)電路焊接最麻煩的,導(dǎo)線的焊接不僅需要細(xì)心還要有耐心,每一根

58、線的長(zhǎng)短,怎么布局都要自己由根據(jù)整個(gè)電路的布局來(lái)確定,少一根都不行。因此我在焊接時(shí)是先將要連的線在實(shí)驗(yàn)板上用鉛筆標(biāo)畫好,然后焊接,這樣焊出來(lái)的不僅美觀而且不容易出錯(cuò)。4.3.2 電路板的檢查和故障排除(1) 電路板的檢查當(dāng)整個(gè)電路板所有元器件及導(dǎo)線都焊接完成后,則開始用萬(wàn)用表檢查電路的導(dǎo)通情況。先檢查低座,將萬(wàn)用表調(diào)到電阻最低擋,再將兩個(gè)表筆分別接到每個(gè)管腳的兩端,若有萬(wàn)用表有報(bào)警聲這說(shuō)明導(dǎo)通,若沒(méi)反應(yīng)即為不導(dǎo)通。再檢查導(dǎo)線的導(dǎo)通情況,辦法和檢查低座一樣。(2) 電路故障的排除當(dāng)在檢查低座的時(shí)候發(fā)現(xiàn)AT89S52低座的21腳沒(méi)有導(dǎo)通,經(jīng)檢查發(fā)現(xiàn)21腳松動(dòng),形成虛焊,經(jīng)重新焊接再用萬(wàn)用表檢查,2

59、1腳導(dǎo)通,故障排除 .在檢查導(dǎo)線時(shí)發(fā)現(xiàn)AT89S52低座的40叫與地線和火線同時(shí)導(dǎo)通,經(jīng)對(duì)整個(gè)線路盤查發(fā)現(xiàn)火線與地線相連通了,經(jīng)處理后再次用萬(wàn)用表檢查,電路完好,故障排除.高低單色光地方官地方官地方官地方官地方官地方官地方官對(duì)法國(guó)隊(duì)似懂非懂所凍豆腐大隨風(fēng)倒反對(duì)。4.4 本章小結(jié)本章主要敘述了鍵盤控制電路和顯示電路是怎樣實(shí)現(xiàn)的。LED顯示電路的設(shè)計(jì),獨(dú)立按鍵的電路設(shè)計(jì)以及硬件的焊接,電路板的檢查和故障的排除。,第5 章 鍵盤控制及顯示電路軟件設(shè)計(jì) 軟件部分設(shè)計(jì)是本次設(shè)計(jì)的另一個(gè)重要組成部分,它的設(shè)計(jì)成敗將直接影響到整個(gè)設(shè)計(jì)的功能能否正常實(shí)現(xiàn)。本次軟件設(shè)計(jì)采用匯編語(yǔ)言編程,用keil51軟件對(duì)所編

60、程序進(jìn)行編譯。5.1 軟件設(shè)計(jì)的基本工具5.1.1 匯編語(yǔ)言的簡(jiǎn)介匯編語(yǔ)言是一種用文字助記符來(lái)表示機(jī)器指令的符號(hào)語(yǔ)言,是最接近機(jī)器碼的一種語(yǔ)言。其主要優(yōu)點(diǎn)是占用資源少、程序執(zhí)行效率高。但是不同的CPU,其匯編語(yǔ)言可能有所差異,因此匯編語(yǔ)言是不易移植的。C語(yǔ)言也是一種結(jié)構(gòu)化的高級(jí)語(yǔ)言。其優(yōu)點(diǎn)是可讀性好,移植容易,是普遍使用的一種計(jì)算機(jī)語(yǔ)言。缺點(diǎn)是占用資源較多,執(zhí)行效率沒(méi)有匯編高。對(duì)于目前普遍使用的RISC架構(gòu)的8bitMCU來(lái)說(shuō),其內(nèi)部ROM、RAM、STACK等資源都有限,如果使用C語(yǔ)言編寫,一條C語(yǔ)言指令編譯后,會(huì)變成很多條機(jī)器碼,很容易出現(xiàn)ROM空間不夠、堆棧溢出等問(wèn)題。而且一些單片機(jī)廠家

61、也不一定能提供C編譯器。而匯編語(yǔ)言,一條指令就對(duì)應(yīng)一個(gè)機(jī)器碼,每一步執(zhí)行什么動(dòng)作都很清楚,并且程序大小和堆棧調(diào)用情況都容易控制,調(diào)試起來(lái)也比較方便。所以在單片機(jī)開發(fā)中,通常是建議采用匯編語(yǔ)言。5.1.2 匯編語(yǔ)言的指令系統(tǒng)與程序前面已經(jīng)講述了本設(shè)計(jì)的幾個(gè)主要組成部分,這些部分只構(gòu)成了系統(tǒng)的硬件。所謂硬件(Hardware),就是看得到,摸得到的實(shí)體。但是,光有這樣的硬件,還只是有了實(shí)現(xiàn)和控制功能的可能性。整個(gè)電路系統(tǒng)要真正地能進(jìn)行工作,還必須有軟件(Software)的配合。軟件主要指的是單片機(jī)實(shí)現(xiàn)各種功能的各種程序。程序是由指令組成的,指令的基本組成是操作碼和操作數(shù)。單片機(jī)的品種很多,設(shè)計(jì)時(shí)

62、怎樣表示操作碼和操作數(shù),都有各自的規(guī)定,再有指令代碼也各不相同,因此,必須對(duì)所選單片機(jī)的全部指令,也就是所謂”指令系統(tǒng)”,有足夠的了解。各個(gè)系列的單片機(jī)雖然有不同的指令系統(tǒng),但也有其共同性。掌握一種單片機(jī)的指令系統(tǒng),對(duì)其它系列單片機(jī)可以起到觸類旁通的作用。MCS51單片機(jī)應(yīng)用廣泛,派生品種多,具有代表性,所以,這里以MCS51系列的指令系統(tǒng)為例說(shuō)明”指令”的組成和應(yīng)用。例:MOVA,20H,這條指令表示把20H這個(gè)數(shù)送入累加器A中(一個(gè)特殊功能寄存器)。再如:ADDA,70H,這條指令表示把累加器A中的內(nèi)容(在上例中送入的20H)和存貯器中地址為70H單元中的內(nèi)容(也是一個(gè)數(shù)字),通過(guò)算術(shù)邏輯單元(英文縮寫為ALU)相加,并將結(jié)果保留在A中。這里MOV、ADD等稱為操作碼,而A、20H、70H等均稱為操作數(shù)。在匯編語(yǔ)言程序中,操作碼通常由英文單詞縮寫而成,這樣有助于記憶,所以又稱助記符。如MOV就是英文單詞MOVE的縮寫,含有搬移的意思;而ADD即為英文單詞,其意為相加。因此,對(duì)于略懂

展開閱讀全文
溫馨提示:
1: 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!