周和琴版微機(jī)原理課后答案.ppt

上傳人:good****022 文檔編號(hào):118022485 上傳時(shí)間:2022-07-10 格式:PPT 頁(yè)數(shù):114 大?。?92.81KB
收藏 版權(quán)申訴 舉報(bào) 下載
周和琴版微機(jī)原理課后答案.ppt_第1頁(yè)
第1頁(yè) / 共114頁(yè)
周和琴版微機(jī)原理課后答案.ppt_第2頁(yè)
第2頁(yè) / 共114頁(yè)
周和琴版微機(jī)原理課后答案.ppt_第3頁(yè)
第3頁(yè) / 共114頁(yè)

下載文檔到電腦,查找使用更方便

15 積分

下載資源

還剩頁(yè)未讀,繼續(xù)閱讀

資源描述:

《周和琴版微機(jī)原理課后答案.ppt》由會(huì)員分享,可在線閱讀,更多相關(guān)《周和琴版微機(jī)原理課后答案.ppt(114頁(yè)珍藏版)》請(qǐng)?jiān)谘b配圖網(wǎng)上搜索。

1、2019年8月3日,1,微型計(jì)算機(jī)及其接口技術(shù) 習(xí)題解答,第1章 微型計(jì)算機(jī)概論 第2章 80X86微處理器 第3章 存儲(chǔ)器及其接口 第4章 輸入輸出與中斷 第5章 并行接口 第6章 定時(shí)器/計(jì)數(shù)器電路 第7章 串行接口 第8章 模擬接口 第9章 人機(jī)接口 第10章 微機(jī)系統(tǒng)實(shí)用接口知識(shí),制作:高智 2005.10,2019年8月3日,習(xí)題解答,2/80,第1章 微型計(jì)算機(jī)概論,1解釋題: (1)微處理器 解:指由一片或幾片大規(guī)模集成電路組成的中央處理器。 (2)微型計(jì)算機(jī) 解:指以微處理器為基礎(chǔ),配以內(nèi)存儲(chǔ)器以及輸入輸出接口電路和相應(yīng)的輔助電路構(gòu)成的裸機(jī)。 (3)微型計(jì)算機(jī)系統(tǒng) 解:指由微處

2、理器配以相應(yīng)的外圍設(shè)備及其它專用電路、電源、面板、機(jī)架以及足夠的軟件而構(gòu)成的系統(tǒng)。 (4)單片機(jī) 解:把構(gòu)成一個(gè)微型計(jì)算機(jī)的一些功能部件集成在一塊芯片之中的計(jì)算機(jī)。 (5)單板機(jī) : 解:把微處理器、RAM、ROM以及一些接口電路,加上相應(yīng)的外設(shè)(如鍵盤(pán)、7段顯示器等)以及監(jiān)控程序固件等安裝在一塊印刷電路板上所構(gòu)成的計(jì)算機(jī)系統(tǒng)。,習(xí)題解答,3/80,2請(qǐng)簡(jiǎn)述微機(jī)系統(tǒng)中三種總線的區(qū)別及聯(lián)系。,解:對(duì)個(gè)具有一定規(guī)模的微型計(jì)算機(jī)系統(tǒng)而言,有三類總線,一種是微型計(jì)算機(jī)中CPU芯片與內(nèi)存儲(chǔ)器和IO接口電路之間信息傳輸?shù)墓餐罚@是片總線; 一種是構(gòu)成 微型計(jì)算機(jī)系統(tǒng)的各模塊之間信息傳輸?shù)墓餐?,這是

3、內(nèi)總線又稱系統(tǒng)總線、微機(jī)總線和板級(jí)總線; 第三種是一個(gè)微型計(jì)算機(jī)系統(tǒng)同另一個(gè)微型計(jì)算機(jī)系統(tǒng)之間,或者一個(gè)微型計(jì) 算機(jī)系統(tǒng)同儀器、儀表之間信息傳輸?shù)墓餐罚@是外總線,又稱通信總線。通常內(nèi)總線 是芯片總線經(jīng)緩沖后映射而得。,2019年8月3日,習(xí)題解答,4/80,第2章 80X86微處理器,1解釋題: (1)執(zhí)行部件EU 解:8086微處理器內(nèi)部的一個(gè)功能部件,由通用寄存器、標(biāo)志寄存器、運(yùn)算器和EU 控制系統(tǒng)等組成,負(fù)責(zé)全部指令的執(zhí)行,向BIU提供數(shù)據(jù)和所需訪問(wèn)的內(nèi)容和IO端口的 地址,并對(duì)通用寄存器、標(biāo)志寄存器和指令操作數(shù)進(jìn)行管理。 (2)總線接口部件BIU 解:8086微處理器內(nèi)部的另一個(gè)

4、功能部件,由段寄存器、指令指針、地址形成邏輯、 總線控制邏輯和指令隊(duì)列等組成,BIU同外部總線連接為EU完成所有的總線操作,并計(jì)算形成20位的內(nèi)存物理地址:,2019年8月3日,習(xí)題解答,5/80,(3)最小方式 解:8086微處理器的種工作方式,在該方式下,由8086提供系統(tǒng)所需要的全部控制 信號(hào),用以構(gòu)成一個(gè)單處理器系統(tǒng)。此時(shí)MNMX*線接VCC(高電平)。 (4)最大方式 解:8086微處理器的另一種工作方式,在該方式下,系統(tǒng)的總線控制信號(hào)由專用的總 線控制器8288提供,構(gòu)成一個(gè)多處理機(jī)或協(xié)處理機(jī)系統(tǒng)。此時(shí)MNMX*線接地。 (5)指令周期 解:執(zhí)行一條指令所需要的時(shí)間稱為指令周期包括

5、取指令、譯碼和執(zhí)行等操作所需的 時(shí)間。,2019年8月3日,習(xí)題解答,6/80,(6)總線周期 解:CPU通過(guò)總線操作完成同內(nèi)存儲(chǔ)器或I/O接口之間一次數(shù)據(jù)傳送所需要的叫間。 (7)時(shí)鐘周期 解:CPUJ時(shí)鐘脈沖的重復(fù)周期稱為時(shí)鐘周期,時(shí)鐘周期是CPU的時(shí)間基準(zhǔn) (8)等待周期 解:在CPU對(duì)內(nèi)存或外設(shè)接口進(jìn)行讀寫(xiě)操作時(shí),當(dāng)被選中進(jìn)行數(shù)據(jù)讀寫(xiě)的內(nèi)存或外設(shè) 接口無(wú)法在3個(gè)T(時(shí)鐘周期)內(nèi)完成數(shù)據(jù)讀寫(xiě)時(shí),就由該內(nèi)存或外設(shè)接口發(fā)出一個(gè)請(qǐng)求延 長(zhǎng)總線周期的信號(hào),CPU在接收到該請(qǐng)求情號(hào)后,就在T3與T4之間插入個(gè)時(shí)鐘周期 一稱為等待周期Tw,在Tw期間,總線信號(hào)保持不變。,2019年8月3日,習(xí)題解答

6、,7/80,(9)指令的尋址方式 解:所謂指令的尋址方式是指“指令中操作數(shù)的表示形式”,操作數(shù)用一個(gè)數(shù)據(jù)直接給出的稱為“立即尋址”,例如MOV AL,80H中的80H。操作數(shù)是一個(gè)寄存器的符號(hào),例如上條指令中的AL,稱為寄存器尋址。操作數(shù)是一個(gè)內(nèi)存地址,則稱為“存儲(chǔ)器尋址”,存儲(chǔ)器尋址中,根據(jù)內(nèi)存地址給出的方式又分為直接尋址、寄存器間接尋址、基址 尋址和變址尋址等。 (10)MMX 解:MMXMulti Media Extension,多媒體擴(kuò)展。這是為提高PC機(jī)處理多媒體信息和增強(qiáng)通信能力而推出的新一代處理器技術(shù),通過(guò)增加4種新的數(shù)據(jù)類型,8個(gè)64位寄存 器和57條新指令來(lái)實(shí)現(xiàn)的。,2019

7、年8月3日,習(xí)題解答,8/80,(11)SEC 解:SECSingle Edge Contact,單邊接觸。這是Pmntiium II微處理器所采用的新的封 裝技術(shù)。先將芯片固定在基板上,然后用塑料和金屬將其完全封裝起來(lái),形成一個(gè)SEC插 盒封裝的處理器,這一SEC插盒通過(guò)Slot1插槽同主板相連。 (12)SSE 解:SSEStreaming SIMD Extensions,數(shù)據(jù)流單指令多數(shù)據(jù)擴(kuò)展技術(shù)。采用SSE技 術(shù)的指令集稱為SSE指令集, Pentium III微處理器增加了70條SSE指令,使Pentium III微處理器在音頻、視頻和3D圖形領(lǐng)域的處理能力大為增強(qiáng)。,2019年8月

8、3日,習(xí)題解答,9/80,(13)亂序執(zhí)行 解:指不完全按程序規(guī)定的指令順序依次執(zhí)行,它同推測(cè)執(zhí)行結(jié)合,使指令流能最有效 地利用內(nèi)部資源。這是Pentium Pro微處理器為進(jìn)一步提高性能而采用的新技術(shù)。 (14)推測(cè)執(zhí)行 解:是指遇到轉(zhuǎn)移指令時(shí),不等結(jié)果出來(lái)便先推測(cè)可能往哪里轉(zhuǎn)移而提前執(zhí)行。 由于推測(cè)不一定全對(duì),帶有一定的風(fēng)險(xiǎn),又稱為“風(fēng)險(xiǎn)執(zhí)行”。,2019年8月3日,習(xí)題解答,10/80,2.簡(jiǎn)述8086中邏輯地址與物理地址的關(guān)系。,解;邏輯地址是允許在程序中編排的地址,8086的邏輯地址有段基值和段內(nèi)偏移量?jī)?部分。段基值存放在對(duì)應(yīng)的段寄存器中,段內(nèi)偏移量由指令給出。物理地址是信息在存儲(chǔ)

9、器 中實(shí)際存放的地址。在8086系統(tǒng)中,物理地址形成過(guò)程為:將段寄存器中存放的段基值 (16位)左移4次再加偏移量,得20位的物理地址。,2019年8月3日,習(xí)題解答,11/80,3簡(jiǎn)述80386中邏輯地址、線性地址與物理地址的關(guān)系。,解:80386系統(tǒng)中有3種存儲(chǔ)器地址空間邏輯地址、線性地址和物理地址。 80386芯片內(nèi)的分段部件將邏輯地址空間轉(zhuǎn)換為32位的線性地址空間,80386芯片內(nèi)的 分頁(yè)部件將線性地址空間轉(zhuǎn)換為物理地址空間。若不允許分頁(yè)部件操作,則經(jīng)分段部件操作 后即為物理地址。,2019年8月3日,習(xí)題解答,12/80,4說(shuō)明標(biāo)志位中溢出位與進(jìn)位位的區(qū)別。,解:進(jìn)位位CF是指兩個(gè)操

10、作數(shù)在進(jìn)行算術(shù)運(yùn)算后,最高位(8位操作為D7位,16位操作為D15位)是否出現(xiàn)進(jìn)位或借位的情況,有進(jìn)位或借位,CF置“1”,否則置“0”。 溢出位OF是反映帶符號(hào)數(shù)(以二進(jìn)制補(bǔ)碼表示)運(yùn)算結(jié)果是否超過(guò)機(jī)器所能表示的數(shù)值范圍酌情況。對(duì)8值運(yùn)算,數(shù)值范圍為-128+127,對(duì)16位運(yùn)算,數(shù)值范圍為-32768 +32767。若超過(guò)上述范圍,稱為“溢出”,OF置“1”。 溢出和進(jìn)位是兩個(gè)不同的概念,某些運(yùn)算結(jié)果,有“溢出”不一定有“進(jìn)位”,反之,有“進(jìn)位”也不一定有“溢出”。,2019年8月3日,習(xí)題解答,13/80,5說(shuō)明8086中段寄存器的作用。,解:8086微處理器中的16位寄存器,用來(lái)存放對(duì)

11、應(yīng)的存儲(chǔ)段的段基值段起始地址的高16 位。通過(guò)段寄存器值和指令中給出的16位段內(nèi)偏移量可得出存儲(chǔ)器操作數(shù)的物理地址(20位)。,2019年8月3日,習(xí)題解答,14/80,6寫(xiě)出寄存器AX、BX、CX、DX、SI和DI的隱含用法。,解:上述通用寄存器的隱合用法如下: AX在字乘/字除指令中用作累加器; 在字I/O操作時(shí)作為數(shù)據(jù)寄存器。 BX間接尋址時(shí),作為地址寄存器和基址寄存器; 在XLAT指令中用作基址寄存器; CX串操作時(shí)的循環(huán)次數(shù)計(jì)數(shù)器; 循環(huán)操作時(shí)的循環(huán)次數(shù)計(jì)數(shù)器; DX字乘/字除指令中用作輔助寄存器; IO指令間接尋址時(shí)作端口地址寄存器; SI間接尋址時(shí),作為地址寄存器和變址寄存器;

12、串操作時(shí)的源變址寄存器; DI間接尋址時(shí),作為地址寄存器和變址寄存器; 串操作時(shí)的目的變址寄存器。,2019年8月3日,習(xí)題解答,15/80,7執(zhí)行如下令后,標(biāo)志寄存器中各狀態(tài)位之值。,(1)MOV AX,34C5H ADD AX,546AH (2)MOV AX,E453H ADD AX,C572H,2019年8月3日,習(xí)題解答,16/80,8.有一雙字87654321H在內(nèi)存中的地址為30101H,畫(huà)出其在8086系統(tǒng)的內(nèi)存中存放的情況。,解:在8086系統(tǒng)中,雙字在內(nèi)存中占連續(xù)4個(gè)字節(jié),其中低位字節(jié)存于低地址,字節(jié)存于高地址。如圖示。 圖29,2019年8月3日,習(xí)題解答,17/80,9.

13、說(shuō)明8086引腳信號(hào)AD0AD15雙重總線的特點(diǎn)。,解:AD0AD15這16條總線傳送32個(gè)信號(hào),A0A15,D0D15,在一個(gè)讀寫(xiě)總線周期的4個(gè)T周期中,T1時(shí)刻傳送地址信號(hào)A0A15,T2以后傳送數(shù)據(jù)信號(hào)D0D15。 可見(jiàn)這16條雙重總線的特點(diǎn)是分時(shí)復(fù)用。,2019年8月3日,習(xí)題解答,18/80,10根據(jù)8086存儲(chǔ)器讀寫(xiě)時(shí)序圖,回答如下問(wèn)題: (1)地址信號(hào)在哪段時(shí)間內(nèi)有效? (2)讀操作與寫(xiě)操作的區(qū)別? (3)存儲(chǔ)器讀寫(xiě)時(shí)序同I/O讀寫(xiě)時(shí)序的區(qū)別? (4)什么情況下需要插入等待周期TW?,解:時(shí)序圖見(jiàn)2.1節(jié)的圖2-1和2-2。 (1)在T1周期,雙重總線AD0AD15,A16/S3

14、A19/S6上輸出要訪問(wèn)的內(nèi)存單元的地 址信號(hào)A0A19。,2019年8月3日,習(xí)題解答,19/80,(2)讀操作與寫(xiě)操作的主要區(qū)別為: DT/R*控制信號(hào)在讀周期中為低電平,在寫(xiě)周期中為高電平; 在讀周期中,RD*控制信號(hào)在T2T3周期為低電平(有效電平);在寫(xiě)周期中WR*控 制信號(hào)在T2T3周期為低電平(有效電平) ,而在讀周期WR*信號(hào)始終為高電平(無(wú)效電 平),在寫(xiě)周期RD*信號(hào)始終為高電平(無(wú)效電平)。 在讀周期中,數(shù)據(jù)信息一般出現(xiàn)在T2周期以后,雙重總線AD0AD15上的地址信息有效和數(shù)據(jù)信息有效之間有一段高阻態(tài),因?yàn)锳D0AD15上的數(shù)據(jù)必須在存儲(chǔ)芯片(或IO 接口)的存取時(shí)間后

15、才能山現(xiàn)。而在寫(xiě)周期中,數(shù)據(jù)信息在雙重總線上是緊跟在地址總線有 效之后立即由CPU送上,兩者之間無(wú)一段高阻態(tài)。,2019年8月3日,習(xí)題解答,20/80,(3)存儲(chǔ)器操作同IO操作的區(qū)別是: 在存儲(chǔ)器操作周期中,控制信號(hào)M/IO*始終為高電平;而在IO操作周期中,M/IO*始終為低電平。 (4)在讀周期中,如果在T3周期內(nèi),被訪問(wèn)的內(nèi)存單元或IO端口還不能把數(shù)據(jù)送上數(shù)據(jù)總線,則必須在T3之后插入等待周期Tw,這時(shí)RD*控制信號(hào)仍為有效低電平。 在寫(xiě)周期中,如果在T3周期內(nèi),被訪問(wèn)的內(nèi)存單元或IO端口還不能把數(shù)據(jù)總線上的 數(shù)據(jù)取走,則必須在T3之后插入等待周期Tw,這時(shí)WR*控制信號(hào)仍為有效低電

16、平。,2019年8月3日,習(xí)題解答,21/80,11扼要說(shuō)明80286同8086的主要區(qū)別。,解:(1)8086只有20條地址線,可直接尋址的內(nèi)存空間為220=1MB;而80286有24 條地址線,可直接尋址的內(nèi)存空間為22416MB。 (2)8086只有實(shí)地址方式,支持單任務(wù)、單用戶系統(tǒng);80286有實(shí)地址方式(實(shí)方式) 和保護(hù)方式(保護(hù)虛地址方式)兩種,片內(nèi)集成有存儲(chǔ)管理和保護(hù)機(jī)構(gòu),支持任務(wù)中的程序 和數(shù)據(jù)的保密,能可靠地支持多用戶和多任務(wù)系統(tǒng)。 (3)在保護(hù)方式下,存儲(chǔ)器的分段部件把整 個(gè)存儲(chǔ)空間分成可變長(zhǎng)度的各段,段的長(zhǎng)度64KB。每個(gè)任務(wù)的虛擬存儲(chǔ)空間最大由16K 個(gè)64KB的段組成

17、,即1024MB1GB,該虛地址空間被映射到最大容量為16MB的物理存 儲(chǔ)器中。 (4)在保護(hù)方式下,80286采用“描述子”和“選擇子”的數(shù)據(jù)結(jié)構(gòu)來(lái)實(shí)現(xiàn)內(nèi)存單元的 尋址。,2019年8月3日,習(xí)題解答,22/80,12扼要說(shuō)明80386同80286的主要區(qū)別。,解: (1)80286是16位微處理器,有24條地址線,可直接尋址的內(nèi)存空間為224 16MB,而80386是32位微處理器,有32條數(shù)據(jù)線,32條地址線,可直接尋址的內(nèi)存空間 為2324GB。 (2)80286是16位微處理器,它的寄存器結(jié)構(gòu)基本上同8086,也是16位的;而80386 是32位微處理器,其寄存器結(jié)構(gòu)除段寄存器外都是

18、32位寄存器,分別在16位寄存器的助 記符前加上E, 即EAX、EBX、ECX、EDX、ESP、EBP、ESI、EDI、EIP以及EFLAG。另外再增加了兩個(gè)16位段寄存器FS和GS。此 外,80386還有系統(tǒng)地址寄存器、控制寄存器、測(cè)試寄存器和調(diào)試寄存器: (3)80386有三種存儲(chǔ)器地址空間邏輯地址、線性地址和物理地址。80386的分段 部件將邏輯地址轉(zhuǎn)換為32位的線性地址;80386的分頁(yè)部件將線性地址轉(zhuǎn)換為物理地址。 (4)80286有兩種工作方式實(shí)方式和保護(hù)方式;80386有三種工作方式實(shí)方式、 保護(hù)方式和虛擬8086方式。,2019年8月3日,習(xí)題解答,23/80,13扼要說(shuō)明80

19、486同80386的主要區(qū)別。,解:80486同80386的主要區(qū)別是: (1)芯片內(nèi)集成有一個(gè)指令和數(shù)據(jù)共用的8KB的4路組相連的高速緩沖存儲(chǔ)器 (Cache),由于訪問(wèn)片內(nèi)Cache的速度遠(yuǎn)高于訪問(wèn)內(nèi)存的速度,從而提高了系統(tǒng)的性能; (2)芯片內(nèi)集成含數(shù)學(xué)協(xié)處理器(FPU)一性能增強(qiáng)的80387,F(xiàn)PU同CPU之間, 以及Cache與CPU之間采用64位數(shù)據(jù)傳輸,大大加快了處理器的運(yùn)行速度; (3)在x86系列芯片中首次采用了RISC技術(shù)降低了執(zhí)行每條指令所需的時(shí)鐘數(shù)可 達(dá)到1.2條指令/時(shí)鐘周期; (4)采用一種稱為猝發(fā)式總線的總線技術(shù),使CPU與內(nèi)存在進(jìn)行成組傳送時(shí)實(shí)現(xiàn)高速 數(shù)據(jù)交換。

20、,2019年8月3日,習(xí)題解答,24/80,14扼要說(shuō)明Pentium同Pentium Pro的主要區(qū)別。,解:Pentium處理器是Intel公司開(kāi)發(fā)的融CISC(Complex Instruction Set Computer,復(fù) 雜指令系統(tǒng)計(jì)算機(jī))技術(shù)與RISC技術(shù)為一體的微處理器,稱為CRIP(CISC-ROSC Processor),其主要特點(diǎn)是: (1)片內(nèi)集成有三個(gè)指令處理部件:RISC體系結(jié)構(gòu)的整數(shù)處理部件,采用超標(biāo)量技 術(shù),設(shè)計(jì)了兩條流水線(U流水線和V流水線),使Pentium在一個(gè)時(shí)鐘周期內(nèi)可以并行執(zhí)行兩條整數(shù)型指令;CISC結(jié)構(gòu)的同80386兼容的處理部件,采用微碼處理

21、指令技術(shù),負(fù) 責(zé)處理不能在一個(gè)時(shí)鐘周期內(nèi)完成的復(fù)雜指令;浮點(diǎn)處理部件,采用8級(jí)流水的超流水線 技術(shù),使每個(gè)時(shí)鐘周期能完成一個(gè)(或兩個(gè))浮點(diǎn)操作; (2)片內(nèi)集成了兩個(gè)獨(dú)立的8KB指令Cache和8KB數(shù)據(jù)Cache,增加了緩存的帶寬, 減少了緩存的沖突: (3)采用分支預(yù)測(cè)技術(shù),提高了流水線執(zhí)行的效率; (4)同80386、80486保持兼容; (5)采用64位外部數(shù)據(jù)總線,使CPU同內(nèi)存的數(shù)據(jù)傳輸速度可達(dá)528MB/s。,2019年8月3日,習(xí)題解答,25/80,Pentium,Pentium Pro的主要區(qū)別是: (1)一個(gè)封裝內(nèi)安裝兩個(gè)芯片,一個(gè)是CPU內(nèi)核,包括兩個(gè)8KB的L1 Cac

22、he,另一個(gè) 是256KB的L2 Cache。這一L2 Cache由全速總線同CPU內(nèi)核相連,提高了程序的運(yùn)行速 度; (2)把CISC結(jié)構(gòu)的指令分解為若干像RISC指令那樣的微操作,能在流水線上并行地 執(zhí)行,這樣既保持了同以前的x86微處理器的兼容性,又提高了指令的運(yùn)行速度; (3)采用亂序執(zhí)行和推測(cè)執(zhí)行技術(shù),使指令流能最有效地利用內(nèi)部資源; (4)采用超級(jí)流水線和超標(biāo)量技術(shù)。具有3種超標(biāo)量結(jié)構(gòu)和14級(jí)超級(jí)流水線結(jié)構(gòu),大大提高了處理器的并行處理能力。,2019年8月3日,習(xí)題解答,26/80,l 5扼要說(shuō)明Pentium MMX的特點(diǎn)。,解:Pentium MMX處理器是具有多媒體擴(kuò)展功能的

23、奔騰芯片,MMX即多媒體擴(kuò)展, 是為提高PC機(jī)處理多媒體和通信能力而推出的新技術(shù)。Pentium MMX的主要特點(diǎn)是: (1)引入了4種新的數(shù)據(jù)類型和8個(gè)64位寄存器,使一條指令就能并行執(zhí)行8個(gè)8位 數(shù)據(jù),4個(gè)16位數(shù)據(jù)或2個(gè)32位數(shù)據(jù)的運(yùn)算; (2)采用飽和運(yùn)算,把溢出值作為定值處理。結(jié)果大于最大值時(shí)當(dāng)作最大值,結(jié)果小于 最小值時(shí)當(dāng)作最小值,無(wú)需進(jìn)行溢出處理; (3)具有積和運(yùn)算能力,MMX微處理器的PMADDWD指令(緊縮字相乘并加結(jié)果)即 “積和運(yùn)算”,可大大提高向量運(yùn)算和矩陣運(yùn)算的速度,在音頻和視頻圖像的壓縮和解壓縮中經(jīng)常用到。,2019年8月3日,習(xí)題解答,27/80,16扼要說(shuō)明P

24、entium II同Pentium III的特點(diǎn)。,解:Pentium II處理器把多媒體擴(kuò)展技術(shù)(MMX技術(shù))融合入Pentium Pro芯片之中, 使Pentium II微處理器既保持了Pentium Pro原有的強(qiáng)大的處理功能,又增強(qiáng)了PC機(jī)在三維 圖形、圖像和多媒體方面的可視化計(jì)算功能相交互功能。 Pentium II的主要特點(diǎn)為: (1)采用了一系列多媒體擴(kuò)展技術(shù),包括:?jiǎn)沃噶疃鄶?shù)據(jù)流技術(shù),使一條指令能完成 多重?cái)?shù)據(jù)的工作,減少了芯片在視頻、聲音、圖像和動(dòng)畫(huà)中計(jì)算密集的循環(huán);為針對(duì)多媒 體操作中經(jīng)常出現(xiàn)的大量并行、重復(fù)運(yùn)算,增加了57條指令,以更有效地處理聲音,圖像 和視頻數(shù)據(jù); (

25、2)動(dòng)態(tài)執(zhí)行技術(shù),這是為更有效地處理多重?cái)?shù)據(jù),提升軟件速度而采用的新技術(shù),由三種技巧組成:多分支跳轉(zhuǎn)預(yù)測(cè);數(shù)據(jù)流分析:推測(cè)執(zhí)行。,2019年8月3日,習(xí)題解答,28/80,(3)雙重獨(dú)立總線結(jié)構(gòu)。由兩條總線組成雙重獨(dú)立總線體系結(jié)構(gòu), 一條是二級(jí)Cache總 線,另一條是處理器至主存儲(chǔ)器的系統(tǒng)總線,使Pentium II處理器的數(shù)據(jù)吞吐能力是單一總 線結(jié)構(gòu)處理器的2倍,而且二級(jí)Cache的運(yùn)行速度也比Pentium處理器高2倍; (4)采用新的封裝技術(shù)SEC,同主板連接采用Slot1. Pentium III處理器的基本結(jié)構(gòu)同Pentium II處理器,采用Pentium Pro的微結(jié)構(gòu),具有數(shù)

26、 據(jù)Cache與指令Cache分開(kāi)的L1 Cache共32KB,以及512KB的L2 Cache,最主要的特點(diǎn)是 增加了70條SSE指令集(SSEStreaming SIMD Extrnsion),又稱“MMX2指令集”(第 二代多媒體擴(kuò)展指令集)。內(nèi)部增加了8個(gè)新的128位單精度寄存器(432位),能同時(shí)處 理4個(gè)單精度浮點(diǎn)變量,可達(dá)20億次/秒的浮點(diǎn)運(yùn)算速度。,2019年8月3日,習(xí)題解答,29/80,第3章 存儲(chǔ)器及其接口,1解釋題: (1)存儲(chǔ)器芯片的存儲(chǔ)容量 解:指存儲(chǔ)器芯片可以容納的二進(jìn)制信息量,以存儲(chǔ)器地址寄存器的編址數(shù)與存儲(chǔ)字位 數(shù)的乘積表示,例如6116芯片的存儲(chǔ)器芯片的存儲(chǔ)

27、容量為2K 8位,表示其地址線為12 條,存儲(chǔ)字位數(shù)為8位。 (2)存儲(chǔ)器芯片的存取時(shí)間 解:定義為從啟動(dòng)一次存儲(chǔ)器操作,到完成該操作所需要的時(shí)間。 (3)“對(duì)準(zhǔn)好”的字 解:在8086系統(tǒng)中要訪問(wèn)的16值字的低8位字節(jié)存放在偶存儲(chǔ)體中,稱為 “對(duì)準(zhǔn)好”的字,對(duì)于對(duì)準(zhǔn)好的字,8086 CPU只要一個(gè)總線周期就能完成對(duì)該字的訪問(wèn):,2019年8月3日,習(xí)題解答,30/80,(4)奇偶分體 解:8086系統(tǒng)中1M字節(jié)的存儲(chǔ)器地址空間實(shí)際上分成兩個(gè)512K字節(jié)的存儲(chǔ)體 “偶存儲(chǔ)體”和“奇存儲(chǔ)體”,偶存儲(chǔ)體同8086的低8位數(shù)據(jù)總線D0D7相連,奇存儲(chǔ)體同 8086的高8位數(shù)據(jù)線D8D15相連,地址總

28、線的A1A19同兩個(gè)存儲(chǔ)體中的地址線A0A18 相連,最低位地址線A0和“總線高允許”BHE*用來(lái)分別選擇偶存儲(chǔ)體和奇存儲(chǔ)體。這種連 接方法稱為“奇偶分體”。,2019年8月3日,習(xí)題解答,31/80,2寫(xiě)出下列容量的RAM芯片片內(nèi)的地址線和數(shù)據(jù)線的條數(shù)。,解:(1)4K 8位:地址線12條,數(shù)據(jù)線8條; (2)512K4位:地址線19條,數(shù)據(jù)線4條; (3)1M 1位:地址線20條,數(shù)據(jù)線1條; (4)2K 8位:地址線11條,數(shù)據(jù)線8條。,2019年8月3日,習(xí)題解答,32/80,3試說(shuō)明6116芯片各引腳的功能。,解:6116是一種20488位的靜態(tài)RAM芯片,有11條地址線,用來(lái)接受C

29、PU送來(lái)的 地址信號(hào),以選中CPU要訪問(wèn)的存儲(chǔ)單元。6116有8條數(shù)據(jù)線,用于存儲(chǔ)單元數(shù)據(jù)的讀出 與寫(xiě)入??刂菩盘?hào)線有3條片選信號(hào)CE*用來(lái)選中所要訪問(wèn)的存儲(chǔ)器芯片,CE*引腳通常 同地址譯碼器的輸出相連,而該地址譯碼器的輸入即CPU要讀寫(xiě)的內(nèi)存單元的高位地址線。例如,CPU的地址線為20位,而內(nèi)存芯片的地址線為11位,則地址譯碼器的輸入可以是 高9位地址線(A19A11);寫(xiě)允許信號(hào)WE*和輸出允許信號(hào)OE*,這兩個(gè)信號(hào)是對(duì)存儲(chǔ)芯片 的寫(xiě)和讀的控制信號(hào),通常同CPU的WR*和RD*引腳相連。,2019年8月3日,習(xí)題解答,33/80,4試說(shuō)明2164芯片各引腳的功能。,解:2164是一種64

30、K1位的動(dòng)態(tài)RAM芯片,有8條地址線,可接受16位地址信號(hào),因此 必須采用地址多路器,使16位地址信號(hào)分成8位行地址和8位列地址分時(shí)送入2164的地址線。數(shù)據(jù)線有2條,即DIN(輸入數(shù)據(jù))和DOUT(輸出數(shù)據(jù)),用來(lái)寫(xiě)入或讀出一位數(shù)據(jù)信息。還有三 條控制信號(hào)線:RAS*行地址選通信號(hào)。用來(lái)鎖定8位行地址;CAS*列地址選通信號(hào),用 來(lái)鎖定8位列地址;WRITE*讀寫(xiě)控制信號(hào),用來(lái)控制對(duì)2164芯片的讀與寫(xiě)。,2019年8月3日,習(xí)題解答,34/80,5試說(shuō)明2732芯片各引腳的功能。,解:2732是一種4K8位的可擦除可編程序只讀存儲(chǔ)器芯片。有12條地址線,可接受 來(lái)自CPU的12位地址信號(hào),

31、以選中CPU要訪問(wèn)的存儲(chǔ)單元。2732有8條數(shù)據(jù)線,用于存 儲(chǔ)單元數(shù)據(jù)的讀出與寫(xiě)入(在編程工作時(shí)),有兩條控制信號(hào)線:芯片允許線CE*用來(lái)選擇 該芯片,使其工作,輸出允許線OE*用來(lái)把輸出數(shù)據(jù)送上數(shù)據(jù)線,只有當(dāng)這兩條控制線同時(shí) 有效時(shí),才能從輸出端得到讀出的數(shù)據(jù),此為讀出時(shí)的條件;在編程工作時(shí),要求OE*線連 接編程電源VPP21V,CE*接一個(gè)50ms低電平有效的TTL編程脈沖,每加一個(gè)這樣的負(fù) 脈沖,控制向一個(gè)地址寫(xiě)入個(gè)8位的數(shù)據(jù)。,2019年8月3日,習(xí)題解答,35/80,6用下列芯片構(gòu)成存儲(chǔ)系統(tǒng),各需要多少個(gè)RAM芯片?需要多少位地址作為片外地址譯碼?設(shè)系統(tǒng)為20位地址線,采用全譯碼方

32、式。,解:(1)5124位RAM構(gòu)成16KB的存儲(chǔ)系統(tǒng): 需要16KB512464片;片外地址譯碼需11位地址線。 (2)10241位RAM構(gòu)成128KB的存儲(chǔ)系統(tǒng): 需要128KBlK 81024片;片外地址譯碼需10位地址線; (3)2K 4位RAM構(gòu)成64KB的存儲(chǔ)系統(tǒng): 需要64KB/2K 264片;片外地址譯碼需9位地址線。 (4)64Kl位RAM構(gòu)成256KB的存儲(chǔ)系統(tǒng): 需要256KB64K8位32片,片外地址譯碼需4位地址線。,2019年8月3日,習(xí)題解答,36/80,7圖習(xí)31為一存儲(chǔ)器同8086的連接圖,試計(jì)算該存儲(chǔ)器的地址范圍,并說(shuō)明該電路的特點(diǎn)。,解:(1)電路分析:譯

33、碼器的控制端G1接M/IO*,當(dāng)CPU執(zhí)行存儲(chǔ)器操作時(shí),MIO “H”,滿足G1有效的條件。G2A*同“與門(mén)1”的輸出端相連,與門(mén)1的輸入為RD*和WR*、 當(dāng)RD*為有效低電平或WR*為有效低電平時(shí),G2A*有效(低電平),也就是說(shuō)無(wú)論是“讀 或“寫(xiě)”都能使G2A*有效。G2B*同“與非門(mén)2”的輸出端相連,“與非門(mén)2”的輸入為A17 與A18,只有當(dāng)A18和A17都為高電平時(shí),G2B*為有效低電平,也就是A18,A17為11時(shí), G2B*才有效。,2019年8月3日,習(xí)題解答,37/80,存儲(chǔ)器芯片的片選信號(hào)CS*同“與門(mén)3”的輸出端相連。 “與門(mén)3”的輸入同譯碼器輸出Y2*、Y3*相連,當(dāng)

34、Y2*為低電平,或Y3*為低電平時(shí),CS*為有效低電平,存儲(chǔ)器芯 片被選中。Y2*為低電平A18A14為“11010”,Y3*為低電平時(shí)A18A14為“11011”,因 此該存儲(chǔ)器的地址范圍為1101000000000000000011011111111111111111,即68000H6FFFFH。地址范圍為32K,但該存儲(chǔ)芯片只有14條地址線A0A13,為16KB的容量, 因此一個(gè)存儲(chǔ)單元有兩個(gè)地址對(duì)應(yīng),這是由于Y2*同Y3*都可選中該存儲(chǔ)芯片,A14為“0” 或?yàn)椤?”,都能選中同一單元,只要A18A151101即可。相當(dāng)于A14末參加譯碼,因 此存在地址重疊。另一方面,上述連接中CPU

35、的A19未參加譯碼,A19為“0”或?yàn)?都可。而上面求出的地址范圍68000H6FFFFH是A19為0的情況,顯然當(dāng)A19為 1時(shí),只要A18A14為“11011”和“11010”也可選中該存儲(chǔ)芯片,此時(shí)地址范圍為 “E8000HEFFFFH”。因此本題的存儲(chǔ)器譯碼中,A19和A14未參加譯碼,有地址更疊, 實(shí)質(zhì)上一個(gè)存儲(chǔ)單元有4個(gè)地址對(duì)應(yīng)。 (2)由此可得該存儲(chǔ)器的地址范圍為: 68000H6BFFFH, 6C000H6FFFFH或E8000HEFFFFH,EC000HEFFFFH,2019年8月3日,習(xí)題解答,38/80,8使用2732、6116和74LS138構(gòu)成一個(gè)存儲(chǔ)容量為12KB

36、ROM(00000H 02FFFH)、8KB RAM(03000H04FFFH)的存儲(chǔ)系統(tǒng)。系統(tǒng)地址總線為20位、數(shù)據(jù)總線為8位。,解:(1)譯碼地址線安排: 12KB ROM需采用3片2732,8KB RAM需采用4片6116。2732的容量為4K 8位, 有12條地址線,片外譯碼的地址線為8條,6116的容量為2K 8位,有11條地址線,片 外譯碼的地址線為9條。采用74LS138譯碼,每個(gè)輸出端對(duì)應(yīng)4KB地址范圍,對(duì)6116,A11 還需進(jìn)行二次譯碼。,2019年8月3日,習(xí)題解答,39/80,(2)列出地址范圍,2019年8月3日,習(xí)題解答,40/80,EPROM1EPROM3的CE*

37、分別接74LS148,SRAM的CE*同Y3*,A11經(jīng)或門(mén)后的輸出相連 ,SRAM2的CE*同Y3*,A11經(jīng)或門(mén)后的輸出相連,SRAM3的CE*同Y4*,A11經(jīng)或門(mén)后的輸出相連,SRAM4的CE*同Y3*,A11*經(jīng)或門(mén)后的輸出相連,則可畫(huà)出如圖所示的系統(tǒng)連接圖。,2019年8月3日,習(xí)題解答,41/80,98086CPU執(zhí)行MOV 2003H,AX指令,從取指到執(zhí)行指令最少需要多少時(shí)間?設(shè)時(shí)鐘頻率為5MHz,該指令的機(jī)器碼為4個(gè)字節(jié),存放在1000H:2000H開(kāi)始的代碼段中。,解:(1)該條指令的機(jī)器碼為4個(gè)字節(jié)存放在1000H:2000H開(kāi)始的4個(gè)單元中。取指 令需兩個(gè)總線周期,第

38、一次取出1000H:20O0H與1000H:2001H兩個(gè)單元中16位數(shù)據(jù);第 二次取出1000H:2002H與1000H:2003H兩個(gè)單元中的16位數(shù)據(jù);接著為執(zhí)行指令,將AX中16位數(shù)傳送到DS:2003H與DS:2004H兩個(gè)存儲(chǔ)單元中。因是奇地址字,需兩個(gè)總線周 期才能完成。這樣,從取指到執(zhí)行共需4個(gè)總線周期。 (2)在無(wú)等待周期的情況下,從取指到執(zhí)行共需:4 41/5MHz3.2s(一個(gè)總線周期 在無(wú)等待周期的情況下由4個(gè)時(shí)鐘周期T組成)。,2019年8月3日,習(xí)題解答,42/80,10什么是內(nèi)存條?用內(nèi)存條有何優(yōu)點(diǎn)?,解:內(nèi)存條是一種以小型板卡形式出現(xiàn)的內(nèi)存儲(chǔ)器產(chǎn)品,在一個(gè)長(zhǎng)條的

39、印刷電路板上安 裝有若干存儲(chǔ)器芯片,印刷板長(zhǎng)邊上有30、72或168條引腳,內(nèi)存條可插在主板上的內(nèi)存 條插槽中。 采用內(nèi)存條的優(yōu)點(diǎn)是,安裝容易,便于更換和易于增加或擴(kuò)充內(nèi)存容量。,2019年8月3日,習(xí)題解答,43/80,第4章 輸入輸出與中斷,1解釋題: (1)I/O接口:IO接口是把微處理器同外圍設(shè)備(外設(shè)) 連接起來(lái)實(shí)現(xiàn)數(shù)據(jù)傳送的控制電路,又稱為外設(shè)接口”。各種IO卡都是I/O接口,如“打印卡”、“顯卡”和“聲 ”等。 (2)IO端口:I/O接口同外設(shè)之間傳送三種信息一數(shù)據(jù)信息、控制信息和狀態(tài)信息,這三種信息實(shí)際上是CPU通過(guò)接口同外設(shè)之間傳送的信息,因此,在接口中必須有存放并傳送這三種

40、信息的 寄存器。這些可以由CPU用IN和OUT指令來(lái)讀寫(xiě)的寄存器稱為“I/O端口”。 (3)周期挪用:周期挪用是指利用CPU不訪問(wèn)存儲(chǔ)器的那些周期來(lái)實(shí)現(xiàn)DMA操作,DMAC可以使用總線而不用通知CPU,也不會(huì)妨礙CPU的工作。周期挪用并不減慢CPU的操作,但可能需要復(fù)雜的時(shí)序電路,而且數(shù)據(jù)傳送過(guò)程是不連續(xù)的和不規(guī)則的。,2019年8月3日,習(xí)題解答,44/80,(4)中斷向量 :所謂中斷向量是指中斷服務(wù)程序的入口地址。入口地址由兩部分組成,即中斷服務(wù)程序第一條指令第一個(gè)字節(jié)的“段基值”和“偏移量” ,是兩個(gè)16位的邏輯地址,所以將入口地址稱為“向量”。 (5)正常EOI方式: 這是8259A三

41、種中斷結(jié)束方式中的一種,屬于EOI命令方式:EOI命令方式是指當(dāng)中斷服務(wù)程序結(jié)束之前向8259A發(fā)出EOI命令,將正在執(zhí)行的中斷服務(wù)寄存器ISR中的對(duì)應(yīng)位清零;正常EOI方式采用普通EOI命令將ISR中所有已置位的位中優(yōu)先級(jí)最高的位清零。它適用于完全嵌套方式的中斷結(jié)束。 (6)自動(dòng)EOI方式 :8259A的三種中斷結(jié)束方式中的一種。這種EOI方式在第2個(gè)INTA*響應(yīng)信號(hào)的后沿(上升沿)時(shí),由8259A自動(dòng)清除ISR中己置位的中斷優(yōu)先級(jí)最高的位,不必在中斷服務(wù)程序結(jié)束前由CPU向8259A發(fā)出EOI命令。,2019年8月3日,習(xí)題解答,45/80,(7)持殊EOI方式 :這也是8259A的三種

42、中斷結(jié)束方式中的種,也屬于EOI命令方式。持殊EOI方 式是采用持殊EOI命令在中斷服務(wù)程序結(jié)束前向8259A發(fā)出結(jié)束命令,用來(lái)清除正在服務(wù) 的中斷服務(wù)寄存器中的相應(yīng)位(此時(shí)正在服務(wù)的中斷優(yōu)先級(jí)不一定是已置位中的最高位)、 特殊EOI命令中帶有用于指定ISR中相應(yīng)位清零的三位編碼信息。特殊EOI命令可以作為任何優(yōu)先級(jí)管理方式的中斷結(jié)束命令。 (8)溢出中斷:8086內(nèi)部中斷中的一種;當(dāng)程序中遇到INTO指令,而且當(dāng)前的溢出標(biāo)志OF=1時(shí), 產(chǎn)生的中斷為溢出中斷。產(chǎn)生溢出中斷時(shí),INTO指令和OF=1兩個(gè)條件必須同時(shí)滿足。,2019年8月3日,習(xí)題解答,46/80,2請(qǐng)說(shuō)明外設(shè)接口同外設(shè)之間的三

43、種信息數(shù)據(jù)信息、控制信息和狀態(tài)信息的作用 及傳送過(guò)程。,解:數(shù)據(jù)信息是CPU同外設(shè)進(jìn)行輸入輸出的主要信息,CPU用OUT指令通過(guò)“數(shù)據(jù) 總線”由接口中的“數(shù)據(jù)端口”向外設(shè)輸出“數(shù)據(jù)信息”,用IN指令通過(guò)“數(shù)據(jù)總線”讀 入從外設(shè)經(jīng)接口中的“數(shù)據(jù)端口”送來(lái)的“數(shù)據(jù)信息”。 控制信息是CPU用OUT指令通過(guò)“數(shù)據(jù)總線”經(jīng)接口中的控制端口向外設(shè)輸出的信息,用來(lái)控制外設(shè)的啟動(dòng)與停止,選擇接口的工作方式以及把數(shù)據(jù)信息打入外設(shè)數(shù)據(jù)緩 沖器的選通信號(hào)。 狀態(tài)信息是CPU用IN指令通過(guò)“數(shù)據(jù)總線”讀入的從外設(shè)經(jīng)接口中的“狀態(tài)端口” 輸入的信息,該信息反映外設(shè)當(dāng)前所處的工作狀態(tài),用來(lái)實(shí)現(xiàn)CPU與外設(shè)之間信息傳輸

44、的“同步”。 數(shù)據(jù)信息、控制信息和狀態(tài)信息都是由CPU的數(shù)據(jù)總線來(lái)傳送的。,2019年8月3日,習(xí)題解答,47/80,3簡(jiǎn)述查詢式數(shù)據(jù)傳送的工作過(guò)程。,解:查詢式數(shù)據(jù)傳送又稱“異步傳送方式”或“條件傳送方式”,其工作過(guò)程如下: 在實(shí)現(xiàn)數(shù)據(jù)傳送前必須首先讀取外設(shè)的當(dāng)前狀態(tài),檢查外設(shè)是否已經(jīng)準(zhǔn)備好進(jìn)行數(shù)據(jù)傳 送,如果外設(shè)尚未準(zhǔn)備就緒(通常由狀態(tài)信息BUSY(忙)有效或READY(就緒)無(wú)效表示), 則CPU繼續(xù)查詢外設(shè)狀態(tài);如果外設(shè)已準(zhǔn)備就緒(通常由狀態(tài)信息BUSY無(wú)效或READY有效表示,則CPU可通過(guò)數(shù)據(jù)端口進(jìn)行輸入或輸出操作,以實(shí)現(xiàn)同外設(shè)的數(shù)據(jù)傳送。,2019年8月3日,習(xí)題解答,48/80

45、,4.簡(jiǎn)述中斷傳送方式的工作過(guò)程。,解:采用中斷方式傳送數(shù)據(jù),在硬件方面,在外設(shè)與CPU之間必須有一個(gè)具有中斷控 制邏輯的接口電路,用來(lái)實(shí)現(xiàn)數(shù)據(jù)傳送的控制,也可以是一般的接口電路(無(wú)中斷控制邏 輯)加上一個(gè)專用的中斷控制器(例如8259A可編程中斷控制器),在軟件方面,必須編制 一段“中斷服務(wù)程序”,以完成CPU與外設(shè)之間的數(shù)據(jù)傳送。 在中斷傳送方式中,通常在一個(gè)主程序中安排好在某一時(shí)刻啟動(dòng)某一外設(shè)后,CPU繼 續(xù)執(zhí)行主程序。此時(shí)外設(shè)同時(shí)進(jìn)行數(shù)據(jù)傳送的準(zhǔn)備工作。當(dāng)外設(shè)完成數(shù)據(jù)傳送的準(zhǔn)備時(shí), 通過(guò)中斷控制邏輯向CPU發(fā)出中斷請(qǐng)求,在CPU可以響應(yīng)中斷的條件下(IF=1,在完成當(dāng)前指今后),現(xiàn)行主

46、程序被“中斷”,通過(guò)中斷控制邏輯提供的“中斷 類型碼”,從“中斷向量表”中讀入“中斷向量”轉(zhuǎn)去執(zhí)行“中斷服務(wù)程序”,在中斷服務(wù)程 序中完成次CPU與外設(shè)之間的數(shù)據(jù)傳送,傳送完成后仍返回被中斷的主程序,從斷點(diǎn)處 繼續(xù)執(zhí)行,并等待外設(shè)的下一次中斷請(qǐng)求。,2019年8月3日,習(xí)題解答,49/80,5簡(jiǎn)述DMA控制器的特點(diǎn)及功能。,解:DMA控制器是內(nèi)存儲(chǔ)器同外設(shè)之間進(jìn)行高速數(shù)據(jù)傳送時(shí)的硬件控制電路,是一種 實(shí)現(xiàn)直接數(shù)據(jù)傳送的專用處理器,它必須能取代在程序控制傳送中由CPU和軟件所完成的 各項(xiàng)功能;它的主要功能是: (1)MAC同外設(shè)之間有一對(duì)聯(lián)絡(luò)信號(hào)線外設(shè)的DMA請(qǐng)求信號(hào)DREQ以及 DMAC向外設(shè)

47、發(fā)出的DMA響應(yīng)信號(hào)DACK; (2)DMAC在接收到DREQ后,同CPU之間也有一對(duì)聯(lián)絡(luò)信號(hào)線DMAC向CPU 發(fā)出總線請(qǐng)求信號(hào)(HOLD或BUSRQ),CPU在當(dāng)前總線周期結(jié)束后向DMAC發(fā)出總線響 應(yīng)信號(hào)(HLDA或BUSAK,DMAC接管對(duì)總線的控制權(quán),進(jìn)入DMA操作方式 。 (3)能發(fā)出地址信息,對(duì)存儲(chǔ)器尋址,并修改地址指針, DMAC內(nèi)部必須有能自動(dòng)加1或減1的地址寄存器。,2019年8月3日,習(xí)題解答,50/80,(4)能決定傳送的字節(jié)數(shù),并能判斷DMA傳送是否結(jié)束 。DMA內(nèi)部必須有能自動(dòng)減1的字計(jì)數(shù)寄存器,計(jì)數(shù)結(jié)束產(chǎn)生終止計(jì)數(shù)信號(hào); (5)能發(fā)出DMA結(jié)束信號(hào),釋放總線,使C

48、PU恢復(fù)總線控制權(quán); (6)能發(fā)出讀、寫(xiě)控制信號(hào),包括存儲(chǔ)器訪問(wèn)信號(hào)和IO訪問(wèn)信號(hào)。DMAC內(nèi)部必須 有時(shí)序和讀寫(xiě)控制邏輯。,2019年8月3日,習(xí)題解答,51/80,6圖習(xí)4-l為一LED接口電路,寫(xiě)出使8個(gè)LED管自上至下依次發(fā)亮2秒的程序, 并說(shuō)明該接口屬于何種輸入輸出控制方式?為什么?,解:控制程序?yàn)椋?MOV AL,7FH LOP:0UT 10H,AL ;調(diào)用延時(shí)2秒子程序 ROR AL,1 JMP LOP 該接口屬無(wú)條件傳送方式,CPU同LED之間無(wú)聯(lián)絡(luò)信號(hào),LED總是已準(zhǔn)備好可以接收來(lái)自CPU的信息。,2019年8月3日,習(xí)題解答,52/80,7簡(jiǎn)要說(shuō)明8086中斷的特點(diǎn)。,解:

49、8086的中斷系統(tǒng)是一個(gè)簡(jiǎn)單而靈活的中斷系統(tǒng),每個(gè)中斷都有一個(gè)中斷類型碼供CPU進(jìn)行識(shí)別,并據(jù)此從中斷向量表中查取中斷向量,轉(zhuǎn)向?qū)?yīng)的中斷服務(wù)程序。8086最 多能處理256種不同的中斷類型。 8086的中斷可以由CPU外的硬設(shè)備驅(qū)動(dòng)硬件中斷(外部中斷),也可由軟件中斷 指令啟動(dòng),或內(nèi)CPU自身啟動(dòng)(在執(zhí)行指令過(guò)程中發(fā)生異?,F(xiàn)象)軟件中斷(內(nèi)部中 斷)。,2019年8月3日,習(xí)題解答,53/80,8.8086內(nèi)部中斷的特點(diǎn)是什么?,解:內(nèi)部中斷(軟件中斷)的特點(diǎn)是: (1)中斷類型碼或者由指令給出或者是預(yù)先規(guī)定的: (2)不執(zhí)行INTA*(中斷應(yīng)答)總線周期; (3)除單步中斷外,任何內(nèi)部中斷

50、都無(wú)法禁止; (4)除單步中斷外,任何內(nèi)部中斷的優(yōu)先級(jí)都比外部中斷高。,2019年8月3日,習(xí)題解答,54/80,9簡(jiǎn)要說(shuō)明8259A中斷控制器中IRR、ISR和IMR三個(gè)寄存器的功能。,解:中斷請(qǐng)求寄存器IRR用來(lái)存放從外設(shè)來(lái)的中斷請(qǐng)求信號(hào)IR0IR7。 中斷服務(wù)寄存器ISR用來(lái)記憶正在處理的中斷級(jí)別; 中斷屏蔽寄存器IMR用來(lái)存放CPU送來(lái)的屏蔽信號(hào),IMR中的某一位或某幾位為 “1”時(shí),對(duì)應(yīng)的中斷請(qǐng)求被屏蔽。,2019年8月3日,習(xí)題解答,55/80,10教材中圖4.5的查詢方式數(shù)據(jù)采集系統(tǒng)中,若ADC的READY信號(hào)出02H端口D7 輸出到CPU數(shù)據(jù)總線,由04H端口輸出D5信息控制A

51、DC的啟動(dòng)(“1”為啟動(dòng)),程序應(yīng) 作哪些改變?,解:只需改動(dòng)兩處: (1)第4條指令A(yù)ND AL,0EFH改為 AND AL,ODFH (2)第10條指令SHR AL,1改為 SHL AL,1。,2019年8月3日,習(xí)題解答,56/80,第5章 并行接口,1解釋題 (1)片選 解:片選信號(hào)以CE*(或CE)表示,只有當(dāng)該信號(hào)有效時(shí)才能使接口芯片進(jìn)入電路工作狀態(tài),以實(shí)現(xiàn)數(shù)據(jù)的輸入輸出。片選端通常同1O地址譯碼器的輸出端相連。因此,片選是由指定的I/O地址選中接口芯片以使其進(jìn)入電路工作狀態(tài)的過(guò)程。 (2)可編程 解:通過(guò)編制相應(yīng)的程序段,用軟件來(lái)選擇IO接口芯片按不同的工作方式完成不同的接口任務(wù)

52、;也可在工作過(guò)程中用軟件對(duì)IO接口芯片進(jìn)行實(shí)時(shí)、動(dòng)態(tài)操作,改變工作方式,發(fā)送操作命令、讀取接口芯片的內(nèi)部狀態(tài)等。,2019年8月3日,習(xí)題解答,57/80,(3)聯(lián)絡(luò)信號(hào) 解:并行接口通常要為每個(gè)數(shù)據(jù)端口提供兩條控制線,一條是接口送往外設(shè)的控制線, 另一條是外設(shè)送給接口的狀態(tài)線,這一對(duì)信號(hào)線的有序配合,使CPU通過(guò)接口能實(shí)現(xiàn)同外 設(shè)之間正確的數(shù)據(jù)傳送。這一對(duì)保證數(shù)據(jù)同步傳輸?shù)男盘?hào)線稱為“聯(lián)絡(luò)信號(hào)”或“握手信 號(hào)”。 (4)INTE 解:8255A用于中斷傳送時(shí)的中斷允許信號(hào),是一個(gè)無(wú)外部引出端的位于8255A內(nèi)部 的中斷允許觸發(fā)器的狀態(tài)位。通過(guò)軟件對(duì)8255A中PCi的位操作來(lái)設(shè)定INTE是“

53、0”還是 “1”,以確定相應(yīng)數(shù)據(jù)口能否用于中斷傳輸,INTE=“1”,允許中斷,INTE“0”,禁止中斷。,2019年8月3日,習(xí)題解答,58/80,(5)OBF* 解:輸出緩沖器滿,8255A工作于方式1輸出時(shí)發(fā)出的數(shù)據(jù)選通信號(hào)。OBF*“0”(有效電平)時(shí),表示CPU已將數(shù)據(jù)送到8255A的PA 口或PB口,并被鎖存在相應(yīng)端口上。當(dāng)外 設(shè)向8255A返回響應(yīng)信號(hào)ACK*時(shí),OBF*被置為“1”(無(wú)效)。 (6)IBF 解:輸入緩沖器滿,8255A 工作于方式1輸入時(shí)由8255A給外設(shè)的回答信號(hào),表示外設(shè)輸入的數(shù)據(jù)已寫(xiě)入輸入緩沖器,通知外設(shè)暫不送新數(shù)。IBF內(nèi)外設(shè)給8255A的選通信號(hào) ST

54、B*變低后置為“1”(有效),由CPU的讀信號(hào)RD*清為“0”。,2019年8月3日,習(xí)題解答,59/80,(7)STB* 解:選通信號(hào)。8255A工作于方式1輸入時(shí),外設(shè)給8255A的選通信號(hào)。STB*有效時(shí),把輸入數(shù)據(jù)鎖存入相應(yīng)的數(shù)據(jù)口(PA口或PB 口)。 (8)ACK* 解:應(yīng)答信號(hào)。8255A工作于方式1輸出時(shí),外設(shè)給8255A的響應(yīng)信號(hào)。ACK*有效時(shí), 表示外設(shè)已從8255A的相應(yīng)端口接收到CPU輸出的數(shù)據(jù)。,2019年8月3日,習(xí)題解答,60/80,2扼要說(shuō)明簡(jiǎn)單的IO接口芯片與可編程接口芯片的異同處?,解:相同處:簡(jiǎn)單的I/O接口芯片與可編程接口芯片都能實(shí)現(xiàn)CPU與外設(shè)之間進(jìn)

55、行數(shù) 據(jù)傳送的控制,都具有暫存信息的數(shù)據(jù)緩沖器或鎖存器。 不同處:簡(jiǎn)單的IO接口芯片的接口功能比較單一,接口芯片在同CPU與外設(shè)的硬件 連接固定后,接口電路的工作方式以及接口功能就固定了,無(wú)法用軟件來(lái)改變。而可編程接 口芯片是多功能接口芯片,具有多種工作方式,用戶可通過(guò)編制相應(yīng)的程序段,使一塊通用 的IO接口芯片能按不同的工作方式完成不同功能的接口任務(wù),也可在工作過(guò)程中,通過(guò)編程對(duì)IO接口芯片進(jìn)行實(shí)時(shí)的動(dòng)態(tài)操作,改變工作方式,發(fā)送操作命令讀取接口芯片內(nèi)部有關(guān)端口的狀態(tài)信息等。,2019年8月3日,習(xí)題解答,61/80,3根據(jù)接口電路的功能,簡(jiǎn)要說(shuō)明IO接口電路應(yīng)包括哪些電路單元。,解:接口電路

56、必須實(shí)現(xiàn)如下功能: (1)實(shí)現(xiàn)CPU與外設(shè)之間的數(shù)據(jù)傳送數(shù)據(jù)鎖存器和三態(tài)緩沖器組成的數(shù)據(jù)端口; (2)在程序查詢的I/O方式中,便于CPU與接口電路或外設(shè)之間用應(yīng)答方式來(lái)交換信 息控制命令寄存器和狀態(tài)寄存器; (3)在中斷傳送的I/O方式中,必須提供各種中斷控制功能中斷控制邏輯; (4)具有選擇接口電路中不同端口(寄存器)的功能地址譯碼器; (5)能對(duì)地址譯碼器選中的端口實(shí)現(xiàn)讀寫(xiě)操作讀寫(xiě)控制邏輯。,2019年8月3日,習(xí)題解答,62/80,4扼要說(shuō)明8255A工作于方式0和方式1時(shí)的區(qū)別。,解:方式0可以工作于無(wú)條件傳送方式,也可工作于查詢傳送(條件傳送)方式,可由 用戶選擇PCL和PCH中各

57、一條線作為PA口和PB口的聯(lián)絡(luò)信號(hào)線,方式0不能工作于中斷 傳送方式; 方式1可以工作于查詢傳送方式和中斷傳送方式,芯片規(guī)定了PC口中6條線作為PA 口和PB口同外設(shè)之間的聯(lián)絡(luò)信號(hào)線以及同CPU之間的中斷請(qǐng)求線。,2019年8月3日,習(xí)題解答,63/80,5試說(shuō)明8255A在方式1輸入時(shí)的工作過(guò)程。(P108),解:方式1輸入時(shí)的時(shí)序圖如圖示:當(dāng)外設(shè)檢查到IBF為低電平,表示輸入緩沖器已空時(shí),可向PA口或PB口輸入8位數(shù)據(jù),同時(shí)送出一個(gè)選通信號(hào)STB*,8255A的PA口或PB口數(shù)據(jù)鎖存器在STB*下降沿控制下將數(shù)據(jù)鎖存。8255A向外設(shè)送出高電平的IBF,表示鎖存器中已有數(shù)據(jù),通知外設(shè) 暫時(shí)

58、不要送新數(shù)。當(dāng)IBF為高電平,STB*也為高電平(在選通脈沖STB*的上升沿后),如果 此時(shí)PC41(INTEA=1)或PC21(INTEB=1),這時(shí)PA口或PB口會(huì)向CPU發(fā)出中斷 請(qǐng)求(INTR變?yōu)楦唠娖?,若CPU的IF1,則當(dāng)前指令周期結(jié)束,響應(yīng)中斷,在轉(zhuǎn)入中斷 服務(wù)程序后,在中斷服務(wù)程序中,執(zhí)行IN指令,產(chǎn)生讀信號(hào)RD*、在RD*信號(hào)的前沿(下降 沿)清除INTRRD*的后沿(上升沿)使IBF復(fù)位為零,表示輸入緩沖器中數(shù)據(jù)已被CPU取走,通知外設(shè)可以開(kāi)始下一輪數(shù)據(jù)傳送。,2019年8月3日,習(xí)題解答,64/80,6試說(shuō)明8255A在方式1輸出時(shí)的工作過(guò)程。,解:方式1輸出時(shí)的時(shí)序圖

59、如圖示。8255A在方式1工作時(shí)的輸出過(guò)程是由CPU響應(yīng)中斷開(kāi)始。當(dāng)輸出設(shè)備接收CPU上次 發(fā)出的數(shù)據(jù)后,發(fā)出ACK*回答信號(hào),使OBF*“1”(無(wú)效),若8255A在該端口初始化時(shí)已 “開(kāi)中斷”INTE“1”,則8255A向CPU發(fā)出中斷請(qǐng)求,若CPU的IFl,CPU在執(zhí) 行當(dāng)前指令后響應(yīng)中斷,在中斷服務(wù)程序中用OUT指令通過(guò)8255A向外設(shè)輸出數(shù)據(jù),發(fā)出 WR*信號(hào);WR*信號(hào)的后沿(上升沿)清除INTR中斷請(qǐng)求信號(hào),且使OBF*=“0“(有效), 通知外設(shè)取數(shù);當(dāng)外設(shè)接收效據(jù)后,發(fā)出ACK*回答信號(hào),一方面使OBF*“1”(無(wú)效),另 一方面在ACK*信號(hào)的上升沿使INTR“1”(有效)

60、,以此向CPU發(fā)出新的中斷請(qǐng)求,開(kāi)始 下一輪的輸出。,2019年8月3日,習(xí)題解答,65/80,78255A用作查詢式打印接口時(shí)的電路連接和打印機(jī)各信號(hào)的時(shí)序如圖55所示, 8255A的端口地址為80H一83H、工作于方式0,試編寫(xiě)一段程序,將數(shù)據(jù)區(qū)中變量DATA 的8位數(shù)據(jù)送打印機(jī)打印,程序以RET指令結(jié)束,并寫(xiě)上注釋。,解:打印程序?yàn)椋?MOV AL,0BH;置STB*=1 0UT 83H,AL PULL:IN AL,82H;查詢BUSY信號(hào) TEST AL,08H JNZ PULL MOV AL,DATA;將DATA送PA口 OUT 80H,AL NOV AL,0AH;置STB*=0 O

61、UT 83H,AL MOV AL,0BH;置STB*=1 OUT 83H,AL;產(chǎn)生負(fù)脈沖選通信號(hào) RET,2019年8月3日,習(xí)題解答,66/80,8簡(jiǎn)述16位系統(tǒng)中并行接口的特點(diǎn)。,解:用兩片8255A芯片來(lái)構(gòu)成一個(gè)16位微機(jī)系統(tǒng)的輸入與輸出接口,一片為偶地址端 口,一片為奇地址端口,偶地址端口的8255A芯片由CPU的地址線A0參與片選譯碼,其8 位數(shù)據(jù)線同CPU的低8位數(shù)據(jù)線D0D7相連;奇地址端口的8255A由CPU的“總線高允許BHE*”參與片選譯碼,其8位數(shù)據(jù)線同CPU的高8位數(shù)據(jù)線D8D15相連。8086CPU可 以對(duì)某一個(gè)8255A的各端口進(jìn)行8位字節(jié)信息傳送,也可以對(duì)兩個(gè)8

62、255A的對(duì)應(yīng)兩個(gè)端口 (兩個(gè)PA口,或兩個(gè)PB口,或兩個(gè)PC口)用一個(gè)總線周期實(shí)現(xiàn)偶地址字的傳送 。,2019年8月3日,習(xí)題解答,67/80,第6章 定時(shí)器/計(jì)數(shù)器電路,1請(qǐng)說(shuō)明82535各個(gè)計(jì)數(shù)通道中3個(gè)引腳信號(hào)CLK、OUT和GATE的功能 解:CLK:輸入信號(hào),用于計(jì)數(shù)工作時(shí),作為計(jì)數(shù)脈沖輸入;用于定時(shí)工作時(shí), 作為定時(shí)基準(zhǔn)脈沖輸入。 OUT:輸出信號(hào),用于計(jì)數(shù)工作時(shí),指示計(jì)數(shù)滿的輸出信號(hào);用于定時(shí)工作時(shí),指示 定時(shí)時(shí)間到的輸出信號(hào)。 GATE:輸入信號(hào),用于啟動(dòng)或禁止“減1計(jì)數(shù)器”的計(jì)數(shù)操作。,2019年8月3日,習(xí)題解答,68/80,2.簡(jiǎn)述82535的方式2與方式3的工作特點(diǎn)。

63、,解:82535的方式2與方式3的工作特點(diǎn)是: (1)寫(xiě)入一次計(jì)數(shù)初值后,輸出連續(xù)波形。其實(shí)質(zhì)是,當(dāng)減1計(jì)數(shù)器減為0時(shí),計(jì)數(shù)初值寄存器立即將原寫(xiě)入的計(jì)數(shù)初值再次送入減1計(jì)數(shù)器,開(kāi)始下一輪的計(jì)數(shù)。 (2)減1計(jì)數(shù)器可重新寫(xiě)入計(jì)數(shù)值,用軟件啟動(dòng)(此時(shí)GATE上必須為高電平),也可由GATE引腳上低到高的跳變,用硬件觸發(fā)啟動(dòng)。,2019年8月3日,習(xí)題解答,69/80,3.簡(jiǎn)述82535的方式1與方式5的工作特點(diǎn)。,解:82535的方式1與方式5的工作特點(diǎn)是: (1)輸出單一波形,方式1輸出nTCLK寬度的負(fù)脈沖,方式5輸出1TCLK寬度的窄負(fù)脈 ; (2)只能在寫(xiě)入計(jì)數(shù)初值后,由GATE引腳上低到

64、高的跳變,用硬件觸發(fā)啟動(dòng)減1計(jì)數(shù)器。,2019年8月3日,習(xí)題解答,70/80,482535在寫(xiě)入計(jì)數(shù)初值時(shí),二進(jìn)制計(jì)數(shù)和十進(jìn)制計(jì)數(shù)有無(wú)區(qū)別?若有,有何區(qū)別?,解:采用二進(jìn)制計(jì)數(shù)時(shí),如果計(jì)數(shù)初值n為8位二進(jìn)制數(shù)(十進(jìn)制數(shù)255),則在用 MOV AL,n寫(xiě)入AL時(shí),n可以寫(xiě)成任何進(jìn)制數(shù)。如果計(jì)數(shù)初值n為16位二進(jìn)制數(shù)(十進(jìn) 制數(shù)65535),則可有兩種方式寫(xiě)入,一種是把十進(jìn)制數(shù)轉(zhuǎn)換成4位十六進(jìn)制數(shù),分兩次寫(xiě)入 對(duì)應(yīng)的計(jì)數(shù)通道(光低后高);另一種是把十進(jìn)制數(shù)直接寫(xiě)入AX,即: MOV AX,n O MOV PORT,AL ;PORT為通道地址 MOV AL,AH OUT PORT,AL,2019

65、年8月3日,習(xí)題解答,71/80,采用二進(jìn)制計(jì)數(shù)時(shí),必須把計(jì)算得到的計(jì)數(shù)初佰的十進(jìn)制數(shù)后加上H,變?yōu)锽CD碼表示形式。例如n50,則寫(xiě)為: MOV Al,50H O)UT PORT,AL 如果n1250,則寫(xiě)為 MOV AL,50H OUT PORT,AL MOV AL,12H OUT PORT,AL,2019年8月3日,習(xí)題解答,72/80,582535的通道0按方式3工作,時(shí)鐘CLK0的頻率為1MHz,要求輸出方波的重復(fù) 頻率為40KHz,此時(shí)應(yīng)如何寫(xiě)入計(jì)數(shù)初值。,解:首先計(jì)算計(jì)數(shù)初值 若采用二進(jìn)制計(jì)數(shù),則寫(xiě)入方式為 MOV AI,25 OUT PORT0,AL ; PORT0為通道0的端口地址 若采用十進(jìn)制計(jì)數(shù),則寫(xiě)入方式為 MOV AL,25H OUT PORT0,AL,2019年8月3日,習(xí)題解答,73/80,6教材中圖69的82535應(yīng)用實(shí)例中,若通道0和1都采用二進(jìn)制計(jì)數(shù),揚(yáng)聲器的發(fā)聲頻率為500Hz,問(wèn)程序應(yīng)如何改動(dòng)?,解:由于發(fā)聲頻率改為500Hz,則OUT1連續(xù)輸出500Hz方波5秒后停止輸出。通道1的計(jì)數(shù)初值應(yīng)為n12.5x1065005000,因采用二

展開(kāi)閱讀全文
溫馨提示:
1: 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!