畢業(yè)設(shè)計-基于AT89C51單片機的直流電機調(diào)速系統(tǒng)的設(shè)計與實現(xiàn).docx

上傳人:good****022 文檔編號:116655085 上傳時間:2022-07-06 格式:DOCX 頁數(shù):38 大?。?38.90KB
收藏 版權(quán)申訴 舉報 下載
畢業(yè)設(shè)計-基于AT89C51單片機的直流電機調(diào)速系統(tǒng)的設(shè)計與實現(xiàn).docx_第1頁
第1頁 / 共38頁
畢業(yè)設(shè)計-基于AT89C51單片機的直流電機調(diào)速系統(tǒng)的設(shè)計與實現(xiàn).docx_第2頁
第2頁 / 共38頁
畢業(yè)設(shè)計-基于AT89C51單片機的直流電機調(diào)速系統(tǒng)的設(shè)計與實現(xiàn).docx_第3頁
第3頁 / 共38頁

下載文檔到電腦,查找使用更方便

20 積分

下載資源

還剩頁未讀,繼續(xù)閱讀

資源描述:

《畢業(yè)設(shè)計-基于AT89C51單片機的直流電機調(diào)速系統(tǒng)的設(shè)計與實現(xiàn).docx》由會員分享,可在線閱讀,更多相關(guān)《畢業(yè)設(shè)計-基于AT89C51單片機的直流電機調(diào)速系統(tǒng)的設(shè)計與實現(xiàn).docx(38頁珍藏版)》請在裝配圖網(wǎng)上搜索。

1、華中師范大學(xué)2011屆自考本科論文答辯題目:基于AT89C51單片機的直流電機調(diào)速系統(tǒng)的設(shè)計與實現(xiàn)學(xué)生姓名:李達所在院系:機械電子工程學(xué)院所學(xué)專業(yè):機電一體化系統(tǒng)設(shè)計指導(dǎo)老師:盧麗君完成時間:2013-03-10目 錄摘要1Abstract2項目一 直流電機3一 直流電機的發(fā)展3二 直流電機的控制方法和工作原理3項目二 單片機最小系統(tǒng)4一 單片機及微處理器控制系統(tǒng)的發(fā)展4二 整體設(shè)計51 硬件電路設(shè)計62 單片機最小系統(tǒng)的設(shè)計6三 單片機AT89S5261復(fù)位電路及時鐘電路72 AT89C51各個管腳說明83 振蕩器特性104 芯片擦除10項目三 直流電機驅(qū)動電路10一 H橋10二 H橋驅(qū)動電

2、路11三 使能控制和方向邏輯12項目四 PWM脈寬調(diào)制原理15一 PWM調(diào)速原理15二 PWM調(diào)速方法15三 PWM實現(xiàn)方式16四 顯示模塊16五 控制模塊17項目五 C語言軟件編程18項目六 系統(tǒng)調(diào)試與分析19一 系統(tǒng)功能調(diào)試與仿真191 調(diào)試軟件介紹192 系統(tǒng)調(diào)試193 仿真圖形20結(jié)束語22附錄 單片機控制程序23參考文獻30摘 要本文是對直流電機PWM調(diào)速器設(shè)計的研究,主要實現(xiàn)對電機的控制。本課程設(shè)計主要是實現(xiàn)PWM調(diào)速器的正轉(zhuǎn)、反轉(zhuǎn)、加速、減速、停止等操作。并實現(xiàn)電路的仿真。為實現(xiàn)系統(tǒng)的微機控制,在設(shè)計中,采用了AT89C51單片機作為整個控制系統(tǒng)的控制電路的核心部分,配以各種顯示

3、、驅(qū)動模塊,實現(xiàn)對電動機轉(zhuǎn)速參數(shù)的顯示和測量;由命令輸入模塊、光電隔離模塊及H型驅(qū)動模塊組成。采用帶中斷的獨立式鍵盤作為命令的輸入,單片機在程序控制下,不斷給光電隔離電路發(fā)送PWM波形,H型驅(qū)動電路完成電機正反轉(zhuǎn)控制.在設(shè)計中,采用PWM調(diào)速方式,通過改變PWM的占空比從而改變電動機的電樞電壓,進而實現(xiàn)對電動機的調(diào)速。設(shè)計的整個控制系統(tǒng),在硬件結(jié)構(gòu)上采用了大量的集成電路模塊,大大簡化了硬件電路,提高了系統(tǒng)的穩(wěn)定性和可靠性,使整個系統(tǒng)的性能得到提高。關(guān)鍵詞:AT89C51單片機;PWM調(diào)速;正反轉(zhuǎn)控制;仿真。AbstractThis article is a DC motor PWM speed

4、 control design study, the main achievement of motor control. This course is primarily designed to achieve PWM speed controller for forward and reverse, acceleration, deceleration, and stop such an operation. And to achieve the circuit simulation. To achieve system, microcomputer control, in the des

5、ign, using AT89C51 microcontroller control system as a whole, the core of the control circuit, accompanied by a variety of shows, drive module enables the motor speed parameter display and measurement; from the command input module, Optical isolation module and H-drive module. With the stand-alone k

6、eyboard with a break as a command input, single-chip in the process control, continuing to the optical isolation circuit to send PWM waveform, H-type motor driving circuit to complete positive inversion control. In the design, using PWM speed mode, by changing the PWM duty cycle to change the motor

7、armature voltage, so as to realize the speed of the motor. Design of the control system hardware structure with a large number of integrated circuit modules, greatly simplifying the hardware circuitry to improve stability and reliability of the system so that the whole system performance is improved

8、.Key words: AT89C51 microcontroller; PWM speed; positive inversion control; Simulation。項目一 直流電機一 直流電機的發(fā)展直流電動機在冶金、礦山、化工、交通、機械、紡織、航空等領(lǐng)域中已經(jīng)得到廣泛的應(yīng)用。而以往直流電動機的控制只是簡單的控制,很難進行調(diào)速,不能實現(xiàn)智能化。如今,直流電動機的調(diào)速控制已經(jīng)離不開單片機的支持,單片機應(yīng)用技術(shù)的飛速發(fā)展促進了自動控制技術(shù)的發(fā)展,使人類社會步入了自動化時代,單片機應(yīng)用技術(shù)與其他學(xué)科領(lǐng)域交叉融合,促進了學(xué)科發(fā)展和專業(yè)更新,引發(fā)了新興交叉學(xué)科與技術(shù)的不斷涌現(xiàn)?,F(xiàn)代科學(xué)技術(shù)的飛

9、速發(fā)展,改變了世界,也改變了人類的生活。由于單片機的體積小、重量輕、功能強、抗干擾能力強、控制靈活、應(yīng)用方便、價格低廉等特點,計算機性能的不斷提高,單片機的應(yīng)用也更加廣泛特別是在各種領(lǐng)域的控制、自動化等方面。在實際應(yīng)用中,電動機作為把電能轉(zhuǎn)換為機械能的主要設(shè)備,一是要具有較高的能量轉(zhuǎn)換效率;二是應(yīng)能根據(jù)生產(chǎn)工藝的要求調(diào)整轉(zhuǎn)速。電動機的調(diào)速性能如何對提高產(chǎn)品質(zhì)量、提高勞動生產(chǎn)率和節(jié)省電能有著直接的決定性影響。因此,調(diào)速技術(shù)一直是研究的熱點。二 直流電機的控制方法和工作原理直流電動機轉(zhuǎn)速的控制方法可分為兩類:勵磁控制法與電樞電壓控制法。勵磁控制法控制磁通,其控制功率雖然小但低速時受到磁場飽和的限制

10、,高速時受到換向火花和轉(zhuǎn)向器結(jié)構(gòu)強度的限制,而且由于勵磁線圈電感較大動態(tài)響應(yīng)較差。所以常用的控制方法是改變電樞端電壓調(diào)速的電樞電壓控制法。傳統(tǒng)的改變端電壓的方法是通過調(diào)節(jié)電阻來實現(xiàn)的,但這種調(diào)壓方法效率低。隨著電力電子技術(shù)的發(fā)展,創(chuàng)造了許多新的電樞電壓控制方法。其中脈寬調(diào)制(Pulse Width Modulation,PWM)是常用的一種調(diào)速方法。其基本原理是用改變電機電樞電壓的接通和斷開的時間比(即占空比)來控制馬達的速度,在脈寬調(diào)速系統(tǒng)中當(dāng)電機通電時,其速度增加,電機斷電時其速度降低。只要按照一定的規(guī)律改變通斷電的時間,就可使電機的速度保持在一穩(wěn)定值上。直流電機可按其結(jié)構(gòu)、工作原理和用途

11、等進行分類,其中根據(jù)直流電機的用途可分為以下幾種:直流發(fā)電機(將機械能轉(zhuǎn)化為直流電能)、直流電動機(將直流電能轉(zhuǎn)化為機械能)、直流測速發(fā)電機(將機械信號轉(zhuǎn)換為電信號)、直流伺服電動機(將控制信號轉(zhuǎn)換為機械信號)。直流電機電路模型如圖1-1所示,磁極N、S間裝著一個可以轉(zhuǎn)動的鐵磁圓柱體,圓柱體的表面上固定著一個線圈abcd。當(dāng)線圈中流過電流時,線圈受到電磁力作用,從而產(chǎn)生旋轉(zhuǎn)。根據(jù)左手定則可知,當(dāng)流過線圈中電流改變方向時,線圈的方向也將改變,因此通過改變線圈電路的方向?qū)崿F(xiàn)改變電機的方向。直流電機模型見圖1。圖1 直流電動機電路模型項目二 單片機最小系統(tǒng)一 單片機及微處理器控制系統(tǒng)的發(fā)展單片微型計

12、算機的誕生是計算機發(fā)展史上的一個新的里程碑。近年來,隨著技術(shù)的發(fā)展和進步,以及市場對產(chǎn)品功能和性能的要求不斷提高,直流電動機的應(yīng)用更加廣泛,尤其是在智能機器人中的應(yīng)用。直流電動機的起動和調(diào)速性能、過載能力強等特點顯得十分重要,為了能夠適應(yīng)發(fā)展的要求,單閉環(huán)直流電動機的調(diào)速控制系統(tǒng)得到了很大的發(fā)展。而作為單片嵌入式系統(tǒng)的核心單片機,正朝著多功能、多選擇、高速度、低功耗、低價格、大存儲容量和強I/O功能等方向發(fā)展。隨著計算機檔次的不斷提高,功能的不斷完善,單片機已越來越廣泛地應(yīng)用在各種領(lǐng)域的控制、自動化、智能化等方面,特別是在直流電動機的調(diào)速控制系統(tǒng)中。這是因為單片機具有很多優(yōu)點:體積小,功能全,

13、抗干擾能力強,可靠性高,結(jié)構(gòu)合理,指令豐富,控制功能強,造價低等。所以選用單片機作為控制系統(tǒng)的核心以提高整個系統(tǒng)的可靠性和可行性。早期直流傳動的控制系統(tǒng)采用模擬分離器件構(gòu)成,由于模擬器件有其固有的缺點,如存在溫漂、零漂電壓,構(gòu)成系統(tǒng)的器件較多,使得模擬直流傳動系統(tǒng)的控制精度及可靠性較低。隨著計算機控制技術(shù)的發(fā)展,微處理器已經(jīng)廣泛使用于直流傳動系統(tǒng),實現(xiàn)了全數(shù)字化控制。由于微處理器以數(shù)字信號工作,控制手段靈活方便,抗干擾能力強。所以,全數(shù)字直流調(diào)速控制精度、可靠性和穩(wěn)定性比模擬直流調(diào)速系統(tǒng)大大提高。所以,直流傳動控制采用微處理器實現(xiàn)全數(shù)字化,使直流調(diào)速系統(tǒng)進入一個嶄新的階段。微處理器誕生于上個世

14、紀(jì)七十年代,隨著集成電路大規(guī)模及超大規(guī)模集成電路制造工藝的迅速發(fā)展,微處理器的性價比越來越高。此外,由于電力電子技術(shù)的發(fā)展,制作工藝的提升,使得大功率電子器件的性能迅速提高。為微處理器普遍用于控制電機提供了可能,利用微處理器控制電機完成各種新穎的、高性能的控制策略,使電機的各種潛在能力得到充分的發(fā)揮,使電機的性能更符合工業(yè)生產(chǎn)使用要求,還促進了電機生產(chǎn)商研發(fā)出各種如步進電機、無刷直流電機、開關(guān)磁阻電動機等便于控制且實用的新型電機,使電機的發(fā)展出現(xiàn)了新的變化。對于簡單的微處理器控制電機,只需利用用微處理器控制繼電器、電子開關(guān)元器件,使電路開通或關(guān)斷就可實現(xiàn)對電機的控制。現(xiàn)在帶微處理器的可編程控制

15、器,已經(jīng)在各種的機床設(shè)備和各種的生產(chǎn)流水線中普遍得到應(yīng)用,通過對可編程控制器進行編程就可以實現(xiàn)對電機的規(guī)律化控制。對于復(fù)雜的微處理器控制電機,則要利用微處理器控制電機的電壓、電流、轉(zhuǎn)矩、轉(zhuǎn)速、轉(zhuǎn)角等,使電機按給定的指令準(zhǔn)確工作。通過微處理器控制,可使電機的性能有很大的提高。目前相比直流電機和交流電機他們各有所長,如直流電機調(diào)速性能好,但帶有機械換向器,有機械磨損及換向火花等問題;交流電機,不論是異步電機還是同步電機,結(jié)構(gòu)都比直流電機簡單,工作也比直流電機可靠,但在頻率恒定的電網(wǎng)上運行時,它們的速度不能方便而經(jīng)濟地調(diào)節(jié)2。高性能的微處理器如DSP (DIGITAL SIGNAL PROCESSO

16、R即數(shù)字信號處理器)的出現(xiàn),為采用新的控制理論和控制策略提供了良好的物質(zhì)基礎(chǔ),使電機傳動的自動化程度大為提高。在先進的數(shù)控機床等數(shù)控位置伺服系統(tǒng),已經(jīng)采用了如DSP等的高速微處理器,其執(zhí)行速度可達數(shù)百萬兆以上每秒,且具有適合的矩陣運算。二 整體設(shè)計89S52單片機為核心的直流電機控制系統(tǒng)控制簡圖如圖1所示,由軟件轉(zhuǎn)換成PWM 信號,并由P3.0、P3.1輸出,經(jīng)驅(qū)動電路輸出給電機,從而控制電機得電與失電。軟件采用定時中斷進行設(shè)計。單片機上電后,系統(tǒng)進入準(zhǔn)備狀態(tài)。當(dāng)按動啟動按鈕后,根據(jù)P3.0為高電平實現(xiàn)電機正轉(zhuǎn),P3.1為高電平時實現(xiàn)電機反轉(zhuǎn)。根據(jù)不同的加減速按鈕,調(diào)整P3.0/ P3.1輸出

17、高低電平時的預(yù)定值,從而可以控制P3.0/ P3.1輸出高低電平時的占空比,進而控制電壓的大小??刂瞥绦驊?yīng)用于電機的加減速。在電動機驅(qū)動信號方面,我們采用了占空比可調(diào)的周期矩形信號控制。脈沖頻率對電動機轉(zhuǎn)速有影響,脈沖頻率高連續(xù)性好,但帶帶負(fù)載能力差脈沖頻率低則反之。經(jīng)實驗發(fā)現(xiàn),脈沖頻率在40Hz以上,電動機轉(zhuǎn)動平穩(wěn),但加負(fù)載后,速度下降明顯,低速時甚至?xí)^D(zhuǎn);脈沖頻率在10Hz以下,電動機轉(zhuǎn)動有明顯跳動現(xiàn)象。實驗證明,脈沖頻率在15Hz-30Hz時效果最佳。而具體采用的頻率可根據(jù)個別電動機性能在此范圍內(nèi)調(diào)節(jié)。通過 P3.0輸入高電平信號P3.1輸入低電平與P3.0輸入低電平P3.1輸入信號分

18、別實現(xiàn)電動機的正轉(zhuǎn)與反轉(zhuǎn)功能。通過對信號占空比的調(diào)整來對直流電機進行調(diào)節(jié)。AT89C52顯示模塊驅(qū)動模塊電源模塊輸入 入模塊圖2 系統(tǒng)硬件框圖1 硬件電路設(shè)計由單片機硬件設(shè)計原理可知:(1)盡可能采用功能強的芯片,以簡化電路;(2)留有余地。在設(shè)計硬件電路時,要考慮到將來修改、擴展的方便。2 單片機最小系統(tǒng)的設(shè)計單片機最小系統(tǒng):所謂最小系統(tǒng)就是指由單片機和一些基本的外圍電路所組成的一個可以工作的單片機系統(tǒng)。一般來說,它包括單片機,晶振電路和復(fù)位電路。如圖3所示:三 單片機AT89S52AT89S52 8位單片機是MSC-51系列產(chǎn)品的升級版,有世界著名半導(dǎo)體公司ATMEL在購買MSC-51設(shè)計

19、結(jié)構(gòu)后,利用自身優(yōu)勢技術(shù)(掉電不丟數(shù)據(jù))閃存生產(chǎn)技術(shù)對舊技術(shù)進行改進和擴展,同時使用新的半導(dǎo)體生產(chǎn)工藝,最終得到成型產(chǎn)品。與此同時,世界上其他的著名公司也通過基本的51內(nèi)核,結(jié)合公司自身技術(shù)進行改進生產(chǎn),推廣一批如51F020等高性能單片機。AT89S52片內(nèi)集成256字節(jié)程序運行空間、8K字節(jié)Flash存儲空間,支持最大64K外部存儲擴展。根據(jù)不同的運行速度和功耗的要求,時鐘頻率可以設(shè)置在0-33M之間。片內(nèi)資源有4組I/O控制端口、3個定時器、8個中斷、軟件設(shè)置低能耗模式、看門狗和斷電保護??梢栽?V到5.5V寬電壓范圍內(nèi)正常工作。不斷發(fā)展的半導(dǎo)體工藝也讓該單片機的功耗不斷降低。同時,該單

20、片機支持計算機并口下載,簡單的數(shù)字芯片就可以制成下載線,僅僅幾塊錢的價格讓該型號單片機暢銷10年不衰。根據(jù)不同場合的要求,這款單片機提供了多種封裝,本次設(shè)計根據(jù)最小系統(tǒng)有時需要更換單片機的具體情況,使用雙列直插DIP-40的封裝。 DIP-40封裝89S52引腳圖如圖4所示。1復(fù)位電路及時鐘電路復(fù)位電路和時鐘電路是維持單片機最小系統(tǒng)運行的基本模塊。復(fù)位電路通常分為兩種:上電復(fù)位和手動復(fù)位,如圖5,圖6所示。 圖5 上電復(fù)位 圖6 手動復(fù)位有時系統(tǒng)在運行過程中出現(xiàn)程序跑飛的情況,在程序開發(fā)過程中,經(jīng)常需要手動復(fù)位。所以本次設(shè)計選用手動復(fù)位。高頻率的時鐘有利于程序更快的運行,也有可以實現(xiàn)更高的信號

21、采樣率,從而實現(xiàn)更多的功能。但是告訴對系統(tǒng)要求較高,而且功耗大,運行環(huán)境苛刻??紤]到單片機本身用在控制,并非高速信號采樣處理,所以選取合適的頻率即可。合適頻率的晶振對于選頻信號強度準(zhǔn)確度都有好處,本次設(shè)計選取12.000M無源晶振接入XTAL1和XTAL2引腳。并聯(lián)2個30pF陶瓷電容幫助起振。最小系統(tǒng)如圖7所示。2 AT89C51各個管腳說明VCC:供電電壓。 -i.bm hxy0:m GND:接地。 )U GR&QR %BI;(w9 P0口:P0口為一個8位漏級開路雙向I/O口,每腳可吸收8TTL門電流。當(dāng)P1口的管腳第一次寫1時,被定義為高阻輸入。P0能夠用于外部程序數(shù)據(jù)存儲器,它可以被

22、定義為數(shù)據(jù)/地址的第八位。在FIASH編程時,P0 口作為原碼輸入口,當(dāng)FIASH進行校驗時,P0輸出原碼,此時P0外部必須被拉高。 xqdee :RD n&4xR3|z P1口:P1口是一個內(nèi)部提供上拉電阻的8位雙向I/O口,P1口緩沖器能接收輸出4TTL門電流。P1口管腳寫入1后,被內(nèi)部上拉為高,可用作輸入,P1口被外部下拉為低電平時,將輸出電流,這是由于內(nèi)部上拉的緣故。在FLASH編程和校驗時,P1口作為第八位地址接收。 p?o0&Z L#-V6. P2口:P2口為一個內(nèi)部上拉電阻的8位雙向I/O口,P2口緩沖器可接收,輸出4個TTL門電流,當(dāng)P2口被寫“1”時,其管腳被內(nèi)部上拉電阻拉高

23、,且作為輸入。并因此作為輸入時,P2口的管腳被外部拉低,將輸出電流。這是由于內(nèi)部上拉的緣故。P2口當(dāng)用于外部程序存儲器或16位地址外部數(shù)據(jù)存儲器進行存取時,P2口輸出地址的高八位。在給出地址“1”時,它利用內(nèi)部上拉優(yōu)勢,當(dāng)對外部八位地址數(shù)據(jù)存儲器進行讀寫時,P2口輸出其特殊功能寄存器的內(nèi)容。P2口在FLASH編程和校驗時接收高八位地址信號和控制信號。 &eF qLT: 2LzI2 Dn P3口:P3口管腳是8個帶內(nèi)部上拉電阻的雙向I/O口,可接收輸出4個TTL門電流。當(dāng)P3口寫入“1”后,它們被內(nèi)部上拉為高電平,并用作輸入。作為輸入,由于外部下拉為低電平,P3口將輸出電流(ILL)這是由于上拉

24、的緣故。 h v9PGg6 1qh*5s P3口也可作為AT89C51的一些特殊功能口,如下表所示: ,1-jf* CeNWlM=W口管腳 備選功能 v6w8=T- 6.T/Kj$DWa P3.0 RXD(串行輸入口) W8.oLh m38*s x P3.1 TXD(串行輸出口) EOBh0$!e -?MpHSho$ P3.2 /INT0(外部中斷0) #!Id %=P2 WE+Vs P3.3 /INT1(外部中斷1) ,9o4%I tI(39P3.4 T0(記時器0外部輸入) s_o)MyCVC )Z2p Pmx P3.5 T1(記時器1外部輸入) zN;u.8)h0 (;g!)P3.6 /

25、WR(外部數(shù)據(jù)存儲器寫選通) z= IkMktD l?uo/u P3.7 /RD(外部數(shù)據(jù)存儲器讀選通) gh;6t*a (ddtRfXUN P3口同時為閃爍編程和編程校驗接收一些控制信號。 5V/y(4 /Uw% 4sI/O口作為輸入口時有兩種工作方式即所謂的讀端口與讀引腳讀端口時實際上并不從外部讀入數(shù)據(jù)而是把端口鎖存器的內(nèi)容讀入到內(nèi)部總線經(jīng)過某種運算或變換后再寫回到端口鎖存器只有讀端口時才真正地把外部的數(shù)據(jù)讀入到內(nèi)部總線上面圖中的兩個三角形表示的就是輸入緩沖器CPU將根據(jù)不同的指令分別發(fā)出讀端口或讀引腳信號以完成不同的操作這是由硬件自動完成的不需要我們操心 :Hs6?00 hw(M 讀引腳

26、時也就是把端口作為外部輸入線時首先要通過外部指令把端口鎖存器置1然后再實行讀引腳操作否則就可能讀入出錯為什么看上面的圖如果不對端口置1端口鎖存器原來的狀態(tài)有可能為0Q端為0Q為1加到場效應(yīng)管柵極的信號為1該場效應(yīng)管就導(dǎo)通對地呈現(xiàn)低阻抗,此時即使引腳上輸入的信號為1也會因端口的低阻抗而使信號變低使得外加的1信號讀入后不一定是1若先執(zhí)行置1操作則可以使場效應(yīng)管截止引腳信號直接加到三態(tài)緩沖器中實現(xiàn)正確的讀入由于在輸入操作時還必須附加一個準(zhǔn)備動作所以這類I/O口被稱為準(zhǔn)雙向口89C51的P0/P1/P2/P3口作為輸入時都是準(zhǔn)雙向口接下來讓我們再看另一個問題從圖中可以看出這四個端口還有一個差別除了P1

27、口外P0P2P3口都還有其他的功能 w%o)Q+ !nt2(eRST:復(fù)位輸入。當(dāng)振蕩器復(fù)位器件時,要保持RST腳兩個機器周期的高電平時間。 g|)DXz/ K?2yiDmc bNIX%r%7 /PSEN:外部程序存儲器的選通信號。在由外部程序存儲器取指期間,每個機器周期兩次/PSEN有效。但在訪問外部數(shù)據(jù)存儲器時,這兩次有效的/PSEN信號將不出現(xiàn)。 Jx e|w h3 M=Vrr D /EA/VPP:當(dāng)/EA保持低電平時,則在此期間外部程序存儲器(0000H-FFFFH),不管是否有內(nèi)部程序存儲器。注意加密方式1時,/EA將內(nèi)部鎖定為RESET;當(dāng)/EA端保持高電平時,此間內(nèi)部程序存儲器。

28、在FLASH編程期間,此引腳也用于施加12V編程電源(VPP)。 2 pvyNYGV _Ko| IXTAL1:反向振蕩放大器的輸入及內(nèi)部時鐘工作電路的輸入。 :d#o0, 249V.XTAL2:來自反向振蕩器的輸出。3 振蕩器特性XTAL1和XTAL2分別為反向放大器的輸入和輸出。該反向放大器可以配置為片內(nèi)振蕩器。石晶振蕩和陶瓷振蕩均可采用。如采用外部時鐘源驅(qū)動器件,XTAL2應(yīng)不接。有余輸入至內(nèi)部時鐘信號要通過一個二分頻觸發(fā)器,因此對外部時鐘信號的脈寬無任何要求,但必須保證脈沖的高低電平要求的寬度。4 芯片擦除整個PEROM陣列和三個鎖定位的電擦除可通過正確的控制信號組合,并保持ALE管腳處

29、于低電平10ms 來完成。在芯片擦操作中,代碼陣列全被寫“1”且在任何非空存儲字節(jié)被重復(fù)編程以前,該操作必須被執(zhí)行。 kZ4;Psp AIAL g 此外,AT89C51設(shè)有穩(wěn)態(tài)邏輯,可以在低到零頻率的條件下靜態(tài)邏輯,支持兩種軟件可選的掉電模式。在閑置模式下,CPU停止工作。但RAM,定時器,計數(shù)器,串口和中斷系統(tǒng)仍在工作。在掉電模式下,保存RAM的內(nèi)容并且凍結(jié)振蕩器,禁止所用其他芯片功能,直到下一個硬件復(fù)位為止。項目三 直流電機驅(qū)動電路一 H橋由兩個三極管,一個可以對正極導(dǎo)通實現(xiàn)上拉,另一個可以對負(fù)極導(dǎo)通實現(xiàn)下拉。 由兩套這樣的電路,在同一個電路中,同時一個上拉,另一個下拉,或相反,兩者總是保

30、持相反的輸出,這樣可以在單電源的情況下使負(fù)載的極性倒過來。由于這樣的接法加上中間的負(fù)載畫出來經(jīng)常會像一個H的字樣,故得名H橋。二 H橋驅(qū)動電路圖8中所示為一個典型的直流電機控制電路。電路得名于“H橋驅(qū)動電路”是因為它的形狀酷似字母H。4個三極管組成H的4條垂直腿,而電機就是H中的橫杠(注意:圖8及隨后的兩個圖都只是示意圖,而不是完整的電路圖,其中三極管的驅(qū)動電路沒有畫出來)。 如圖所示,H橋式電機驅(qū)動電路包括4個三極管和一個電機。要使電機運轉(zhuǎn),必須導(dǎo)通對角線上的一對三極管。根據(jù)不同三極管對的導(dǎo)通情況,電流可能會從左至右或從右至左流過電機,從而控制電機的轉(zhuǎn)向。圖8 H橋驅(qū)動電路要使電機運轉(zhuǎn),必須

31、使對角線上的一對三極管導(dǎo)通。例如,如圖9所示,當(dāng)Q1管和Q4管導(dǎo)通時,電流就從電源正極經(jīng)Q1從左至右穿過電機,然后再經(jīng)Q4回到電源負(fù)極。按圖中電流箭頭所示,該流向的電流將驅(qū)動電機順時針轉(zhuǎn)動。當(dāng)三極管Q1和Q4導(dǎo)通時,電流將從左至右流過電機,從而驅(qū)動電機按特定方向轉(zhuǎn)動(電機周圍的箭頭指示為順時針方向)。圖9 H橋電路驅(qū)動電機順時針轉(zhuǎn)動圖10所示為另一對三極管Q2和Q3導(dǎo)通的情況,電流將從右至左流過電機。當(dāng)三極管Q2和Q3導(dǎo)通時,電流將從右至左流過電機,從而驅(qū)動電機沿另一方向轉(zhuǎn)動(電機周圍的箭頭表示為逆時針方向)。圖10 H橋驅(qū)動電機逆時針轉(zhuǎn)動三 使能控制和方向邏輯驅(qū)動電機時,保證H橋上兩個同側(cè)的

32、三極管不會同時導(dǎo)通非常重要。如果三極管Q1和Q2同時導(dǎo)通,那么電流就會從正極穿過兩個三極管直接回到負(fù)極。此時,電路中除了三極管外沒有其他任何負(fù)載,因此電路上的電流就可能達到最大值(該電流僅受電源性能限制),甚至燒壞三極管。基于上述原因,在實際驅(qū)動電路中通常要用硬件電路方便地控制三極管的開關(guān)。 圖11 所示就是基于這種考慮的改進電路,它在基本H橋電路的基礎(chǔ)上增加了4個與門和2個非門。4個與門同一個“使能”導(dǎo)通信號相接,這樣,用這一個信號就能控制整個電路的開關(guān)。而2個非門通過提供一種方向輸人,可以保證任何時候在H橋的同側(cè)腿上都只有一個三極管能導(dǎo)通。(與本節(jié)前面的示意圖一樣,圖11所示也不是一個完整

33、的電路圖,特別是圖中與門和三極管直接連接是不能正常工作的。)圖11 具有使能控制和方向邏輯的H橋電路采用以上方法,電機的運轉(zhuǎn)就只需要用三個信號控制:兩個方向信號和一個使能信號。如果DIRL信號為0,DIRR信號為1,并且使能信號是1,那么三極管Q1和Q4導(dǎo)通,電流從左至右流經(jīng)電機(如圖12所示);如果DIRL信號變?yōu)?,而DIRR信號變?yōu)?,那么Q2和Q3將導(dǎo)通,電流則反向流過電機。圖12 使能信號與方向信號的使用實際使用的時候,用分立元件制作H橋是很麻煩的,好在現(xiàn)在市面上有很多封裝好的H橋集成電路,接上電源、電機和控制信號就可以使用了,在額定的電壓和電流內(nèi)使用非常方便可靠。比如常用的L293

34、D、L298N、TA7257P、SN754410等。 附兩張分立元件的H橋驅(qū)動電路:項目四 PWM脈寬調(diào)制原理一 PWM調(diào)速原理PWM(脈沖寬度調(diào)制)是通過控制固定電壓的直流電源開關(guān)頻率,改變負(fù)載兩端的電壓,從而達到控制要求的一種電壓調(diào)整方法。PWM可以應(yīng)用在許多方面,比如:電機調(diào)速、溫度控制、壓力控制等等。在PWM驅(qū)動控制的調(diào)整系統(tǒng)中,按一個固定的頻率來接通和斷開電源,并且根據(jù)需要改變一個周期內(nèi)“接通”和“斷開”時間的長短。通過改變直流電機電樞上電壓的“占空比”來達到改變平均電壓大小的目的,從而來控制電動機的轉(zhuǎn)速。也正因為如此,PWM又被稱為“開關(guān)驅(qū)動裝置”,見圖13所示。圖13 PWM信號

35、的占空比設(shè)電機始終接通電源時,電機轉(zhuǎn)速最大為Vmax,設(shè)占空比為D= t1 / T,則電機的平均速度為Va = Vmax * D,其中Va指的是電機的平均速度;Vmax 是指電機在全通電時的最大速度;D = t1 / T是指占空比。由上面的公式可見,當(dāng)我們改變占空比D=t1/T時,就可以得到不同的電機平均速度Va,從而達到調(diào)速的目的。嚴(yán)格來說,平均速度Va與占空比D并非嚴(yán)格的線性關(guān)系,但是在一般的應(yīng)用中,我們可以將其近似的看成是線性關(guān)系。二 PWM調(diào)速方法基于單片機類由軟件來實現(xiàn)PWM:在PWM調(diào)速系統(tǒng)中占空比D是一個重要參數(shù)在電源電壓Ud不變的情況下,電樞端電壓的平均值取決于占空比D的大小,

36、改變D的值可以改變電樞端電壓的平均值從而達到調(diào)速的目的。改變占空比D的值有三種方法:A、定寬調(diào)頻法:保持t1不變,只改變t2,這樣使周期(或頻率)也隨之改變。B、調(diào)寬調(diào)頻法:保持t2不變,只改變t1,這樣使周期(或頻率)也隨之改變。C、定頻調(diào)寬法:保持周期T(或頻率)不變,同時改變t1和t。前兩種方法在調(diào)速時改變了控制脈沖的周期(或頻率),當(dāng)控制脈沖的頻率與系統(tǒng)的固有頻率接近時,將會引起振蕩,因此常采用定頻調(diào)寬法來改變占空比從而改變直流電動機電樞兩端電壓。利用單片機的定時計數(shù)器外加軟件延時等方式來實現(xiàn)脈寬的自由調(diào)整,此種方式可簡化硬件電路,操作性強等優(yōu)點。三 PWM實現(xiàn)方式方案一:采用定時器做

37、為脈寬控制的定時方式,這一方式產(chǎn)生的脈沖寬度極其精確,誤差只在幾個us。方案二:采用軟件延時方式,這一方式在精度上不及方案一,特別是在引入中斷后,將有一定的誤差。故采用方案一。四 顯示模塊在本設(shè)計課題中采用的是7段4位共陽極LED數(shù)碼管,它的引腳圖如圖14所示。7段LED數(shù)碼管是利用7個LED(發(fā)光二極管)外加一個小數(shù)點的LED組合而成的顯示設(shè)備,7段數(shù)碼管分共陰和共陽兩種顯示方式,本設(shè)計中采用共陽極顯示器。共陽極顯示器的發(fā)光二極管的陽極連接在一起,當(dāng)公共陽極接電源+5V時,當(dāng)某個發(fā)光二極管的陰極接低電平時,發(fā)光二極管被點亮,相應(yīng)的段被顯示。通常將控制發(fā)光二極管發(fā)光的8位字節(jié)數(shù)據(jù)編碼稱為LED

38、顯示的段選碼,要構(gòu)成多位LED顯示時,除需要段選線外,還需要位選線,以確定段選碼對應(yīng)的顯示位,位選線控制第幾個LED顯示。段選線控制顯示字形。8個陰極分別與8個限流電阻相連,在接到相應(yīng)的電路中(發(fā)光二極管的工作電流選取在10-20ma,限流電阻太大,數(shù)碼管會太亮),其連接圖如圖15所示。圖15 7段共陽極LED連接圖因為單片機的輸出端口輸出的電流小,點亮數(shù)碼管的能力不大,所以需要采用三極管放大輸出電流,此次三極管采用的是C9013,具體放大電路如圖16所示。圖16 數(shù)碼管放大電路五 控制模塊正轉(zhuǎn)、反轉(zhuǎn)、急停、加速、減速五個開關(guān)分別與單片機的P1.0,P1.1,P1.2,P1.3,P1.4相連,

39、然后再與地相連。急停實現(xiàn)直流電機的停轉(zhuǎn),正轉(zhuǎn)實現(xiàn)直流電機的正轉(zhuǎn),反轉(zhuǎn)實現(xiàn)直流電機的反轉(zhuǎn),加速實現(xiàn)直流電機的加速,減速實現(xiàn)直流電機的減速,其電路如圖17所示。圖17 按鍵電路項目五 C語言軟件編程在單片機系統(tǒng)的程序的設(shè)計開發(fā)中,單片機就如同整個系統(tǒng)的交通中樞,而程序就是組成交通中樞的條條大道,各個部分的模塊化的程序就是整個系統(tǒng)的組成成份。軟件編寫的好壞,語句運用的是否簡潔直接關(guān)系單片機的工作效率。在各個模塊化的程序中盡量用最少的語句作最多的事情,不讓語句出現(xiàn)歧義,這樣就可以使整個程序可以在系統(tǒng)中更好的運行,使單片機工作效率大大的提高。該課題的軟件設(shè)計采用了模塊化設(shè)計的思想即將程序劃分為若干個相對

40、獨立的功能模塊,畫出每一個功能模塊的詳細(xì)流程圖,并根據(jù)流程圖編寫程序,最后按照軟件設(shè)計的總體結(jié)構(gòu)框圖,將各模塊連接成一個完整的主程序。在主程序的設(shè)計中要合理地調(diào)用各模塊程序。模塊化設(shè)計的優(yōu)點是:無論是硬件還是軟件,每一個模塊都相對獨立,故能獨立地進行設(shè)計、研制、調(diào)試和修改,從而使復(fù)雜的工作得以簡化。模塊之間的相互獨立也有助于研制任務(wù)的分解和設(shè)計人員之間的分工合作,這樣可提高工作效率和儀表的研制速度。本利用P3口,編制程序輸出一串脈沖,經(jīng)放大后驅(qū)動直流電機,改變輸出脈沖的電平的持續(xù)時間,達到使電機正轉(zhuǎn)、反轉(zhuǎn)、加速、減速、停轉(zhuǎn)等目的10-11。由軟件編程從P3.0/P3.1管腳產(chǎn)生PWM 信號,經(jīng)

41、驅(qū)動電路輸出給電機,從而控制電機得電與失電。軟件采用延時法進行設(shè)計。單片機上電后,系統(tǒng)進入準(zhǔn)備狀態(tài)。當(dāng)按動啟動按鈕后,根據(jù)P3.0為高電平時實現(xiàn)電機正轉(zhuǎn),P3.1為高電平時實現(xiàn)電機反轉(zhuǎn)。根據(jù)不同的加減速按鈕,調(diào)整P3.0/ P3.1輸出高低電平時的占空比,從而可以控制P3.0/ P3.1輸出高低電平時的有效值,進而控制電機的加減速。項目六 系統(tǒng)調(diào)試與分析一 系統(tǒng)功能調(diào)試與仿真1 調(diào)試軟件介紹KeilC51軟件提供豐富的庫函數(shù)和功能強大的集成開發(fā)調(diào)試工具,全Windows界面。另外重要的一點,只要看一下編譯后生成的匯編代碼,就能體會到KeilC51生成的目標(biāo)代碼效率非常之高,多數(shù)語句生成的匯編代

42、碼很緊湊,容易理解。在開發(fā)大型軟件時更能體現(xiàn)高級語言的優(yōu)勢。KEIL C51編譯器由uVision2集成開發(fā)環(huán)境與編輯器和調(diào)試器以及C51編譯器組成。其中uVision2集成開發(fā)環(huán)境中的工程(project)是由源文件、開發(fā)工具選項以及編程說明三部分組成的;編輯器和調(diào)試器包括源代碼編輯器、斷點設(shè)置、調(diào)試函數(shù)語言、變量和存儲器。Proteus軟件是一種低投資的電子設(shè)計自動化軟件,提供可仿真數(shù)字和模擬、交流和直流等數(shù)千種元器件和多達30多個元件庫。Proteus軟件提供多種現(xiàn)實存在的虛擬儀器儀表。此外,Proteus還提供圖形顯示功能,可以將線路上變化的信號,以圖形的方式實時地顯示出來。這些虛擬儀

43、器儀表具有理想的參數(shù)指標(biāo),例如極高的輸入阻抗、極低的輸出阻抗,盡可能減少儀器對測量結(jié)果的影響,Proteus軟件提供豐富的測試信號用于電路的測試。這些測試信號包括模擬信號和數(shù)字信號。提供Schematic Drawing、SPICE仿真與PCB設(shè)計功能,同時可以仿真單片機和周邊設(shè)備,可以仿真51系列、AVR、PIC等常用的MCU,并提供周邊設(shè)備的仿真,例如373、led、示波器等。Proteus提供了大量的元件庫,有RAM、ROM、鍵盤、馬達、LED、LCD、AD/DA、部分SPI器件、部分IIC器件,編譯方面支持Keil和MPLAB等編譯器。一臺計算機、一套電子仿真軟件,在加上一本虛擬實驗教

44、程,就可相當(dāng)于一個設(shè)備先進的實驗室。以虛代實、以軟代硬,就建立一個完善的虛擬實驗室。在計算機上學(xué)習(xí)電工基礎(chǔ),模擬電路、數(shù)字電路、單片機應(yīng)用系統(tǒng)等課程,并進行電路設(shè)計、仿真、調(diào)試等。當(dāng)電路設(shè)計完成之后,為了減少在電路板上調(diào)試時的難度,保證電路設(shè)計的正確性,將Keil c51編譯生成的*.HEX 文件載入Proteus軟件,實現(xiàn)電路仿真。2 系統(tǒng)調(diào)試在程序編寫的過程中,出現(xiàn)了很多問題,包括PWM信號發(fā)生電路的控制、以及單片機控制直流電機的轉(zhuǎn)動方向等問題,雖然問題不是很大,但是也讓我研究了好長時間,在解決這些問題的時候,我不斷向老師和同學(xué)請教,希望能通過大家一塊的努力把軟件編寫的更完整,讓系統(tǒng)的功能

45、更完備。經(jīng)過多天的努力探索,也經(jīng)過老師的指導(dǎo),大部分問題都已經(jīng)解決,就是程序還是不能實現(xiàn)應(yīng)該實現(xiàn)的功能,這讓我很著急。后來經(jīng)過一點一點的調(diào)試,并認(rèn)真總結(jié),發(fā)現(xiàn)了問題其實在編寫中斷處理程序時出現(xiàn)了錯誤,修改后即可實現(xiàn)直流電機調(diào)速的目的??偨Y(jié)這次軟件調(diào)試,讓我認(rèn)識到了做軟件調(diào)試的基本方法與流程:(1)認(rèn)真檢查源代碼,看是否有文字或語法錯誤(2)逐段子程序進行設(shè)計,找出錯誤出現(xiàn)的部分,重點排查(3)找到合適的方法,仔細(xì)檢查程序,分步調(diào)試直到運行成功3 仿真圖形初始狀態(tài),直流電機有如圖示18運行效果圖18 電機半速運轉(zhuǎn)按下急停鍵,直流電機有圖19的停止運行結(jié)果。圖19 電機停轉(zhuǎn)按下加速鍵,直流電機有圖

46、20的正向加速運行結(jié)果。圖20 電機正轉(zhuǎn)加速按下減速鍵,直流電機有圖21正向減速運行結(jié)果。圖21 電機正轉(zhuǎn)減速按下反轉(zhuǎn)鍵,直流電機有圖22反向加速運行結(jié)果。圖22 電機反轉(zhuǎn)加速結(jié)束語該課題的主要任務(wù)是設(shè)計一個以89C51單片機為核心的直流電機調(diào)速系統(tǒng)。通過聯(lián)調(diào),實驗驗證了系統(tǒng)的可行,能滿足設(shè)計要求,達到設(shè)計的指標(biāo),最后實現(xiàn)了對轉(zhuǎn)速的控制和LED顯示轉(zhuǎn)速。這個開發(fā)過程主要包括了硬件電路仿真設(shè)計和軟件編程兩個部分。從確定課設(shè)題目,到查閱質(zhì)料確定總體方案設(shè)計,硬件電路仿真的設(shè)計,硬件電路的優(yōu)化,軟件的設(shè)計,軟件的優(yōu)化,檢驗仿真電路,調(diào)試軟件程序,到最后的軟硬件聯(lián)調(diào),其中的每一個過程都是精心設(shè)計、仔細(xì)

47、完成的。附錄 單片機控制程序/* 單片機控制直流電機* 李達* 2013.03.09* Keil uVision3 調(diào)試通過/*/#include #include #define uint unsigned int#define uchar unsigned char/*/uchar led_code10 = 0 xa0, 0 xf9, 0 xc4, 0 xd0, 0 x99, 0 x92, 0 x82, 0 xf8, 0 x80, 0 x90;/段碼 0,1,2,3,4,5, 6, 7, 8, 9uchar led_bit4 = 1, 2, 4, 8; /位碼uchar led_buf4;

48、 /顯示緩存uchar key_buf4;int num =50; /顯示數(shù)據(jù)int n = 0; / 當(dāng)前顯示位int i = 4; /位消隱參數(shù)int m = 0; /按鍵次數(shù)uchar key_code;sbit MOTOR0=P30;sbit MOTOR1=P31;int MOTORPORT0=0;uint MOTORPORT1;int MOTORDIR=0;int MOTORSTOP=0;int counter=0;sbit p10=P10;sbit p11=P11;sbit p12=P12;sbit p13=P13;/* *名 稱:delayms *說 明:延時子程序 *功 能:產(chǎn)

49、生一定時間的延時 *輸 入:ms 延時時間1ms的倍數(shù) *返回值:無 */void delayms(uint ms) uint a, b; for (a = 0; a ms; a+) for (b = 0; b = 1000) /數(shù)據(jù)在于等于10000 i = 4; /顯示4位 else if (num = 100) / 數(shù)所小于1000大于100 i = 3; /顯示3位 else if (num = 10) /數(shù)據(jù)小于100大于10 i = 2; /顯示兩位 else if (num 10) /數(shù)據(jù)小10 i = 1; /顯示1位 /*顯示輸出*/ numToBuf(); P2 = led

50、_bitn; /調(diào)用位碼 P0 = led_bufn; /調(diào)用段碼 n+; /移位 n = n % i;/* *名 稱:int_1 *說 明:定時器1中斷服務(wù)程序 *功 能:鍵盤掃描 *輸 入:無 *返回值:無 */void int_1(void)interrupt 3 TH1 = 0 xfe; TL1 = 0 x0c; /定時器0賦初值 if(counter=num) MOTORPORT1=1;else if(counternum) MOTORPORT1=0; counter+;counter=counter%100;/* *名稱:Key_Scan *說明: *功能:鍵盤掃描 *輸入: *返

51、回值:按鍵對應(yīng)值(015);無鍵按下返回0 x7f */unsigned char Key_Scan(void) / unsigned char i, n; unsigned char key_temp; P1 = 0 xf0; if (P1 &0 xf0) != 0 xf0) if (P1 &0 xf0) != 0 xf0) delayms(100); for (i = 0; i 4; i+) P1 = (1 i); n = P1; n &= 0 xf0; if (n != 0 xf0) switch (n) case (0 xe0): key_temp = i * 4; break; ca

52、se (0 xd0): key_temp = 1+i * 4; break; case (0 xb0): key_temp = 2+i * 4; break; case (0 x70): key_temp = 3+i * 4; break; default: key_temp = 0 x7f; return key_temp; return 0 x7f; /如果未按任何鍵/* *名 稱:key_dectde *說 明: *功 能:按鍵釋放檢測 *輸 入: *返回值: */unsigned char key_dectde(void) unsigned char temp1, temp2; tem

53、p1 = Key_Scan(); if (temp1 = 0 x7f) return 0 x7f; do temp2 = Key_Scan(); while (temp1 = temp2); return temp1;/*主程序*/void main() /* 初始化*/ TMOD = 0 x11; TH0 = 0 xD8; /定時器T0為方式1 TL0 = 0 xf0; /定時器0的時間常數(shù)(10ms,12MHZ) TR0 = 1; /啟動定時器0 TH1 = 0 xff; /定時器T0為方式1 TL1 = 0 x9c;/啟動定時器0 TR1=1; IE = 0 x8a; /允許定時器0/1中斷 /* 鍵盤程序*/ while (1) /循環(huán) if(p10=0) delayms(100); if(p10=0) num+

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!