歡迎來到裝配圖網! | 幫助中心 裝配圖網zhuangpeitu.com!
裝配圖網
ImageVerifierCode 換一換
首頁 裝配圖網 > 資源分類 > DOC文檔下載  

數(shù)字電子技術基礎課程設計報告 智力競賽搶答器

  • 資源ID:28025152       資源大?。?span id="jj8pcqe" class="font-tahoma">4.04MB        全文頁數(shù):19頁
  • 資源格式: DOC        下載積分:15積分
快捷下載 游客一鍵下載
會員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要15積分
郵箱/手機:
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機號,方便查詢和重復下載(系統(tǒng)自動生成)
支付方式: 支付寶    微信支付   
驗證碼:   換一換

 
賬號:
密碼:
驗證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會被瀏覽器默認打開,此種情況可以點擊瀏覽器菜單,保存網頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預覽文檔經過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標題沒有明確說明有答案則都視為沒有答案,請知曉。

數(shù)字電子技術基礎課程設計報告 智力競賽搶答器

29揚州大學能源與動力工程學院本科生課程設計題 目: 智力競賽搶答器 課 程: 數(shù)字電子技術基礎 專 業(yè): 電氣工程及其自動化 班 級: 電氣XXXX班 學 號: XXXXXX 姓 名: XXXXXX 指導教師: XXX 完成日期: 2012.XXXX 總 目 錄第一部分:任務書 第二部分:課程設計報告第 一 部 分任務書數(shù)字電子技術基礎課程設計任務書一、課程設計的目的本課程是在學完數(shù)字電子技術基礎、數(shù)字電子技術實驗之后,集中兩周時間,進行的復雜程度較高、綜合性較強的設計課題的實做訓練。主要包括:方案論證、系統(tǒng)電路分析、單元功能電路設計、元器件選擇、安裝調試、計算機輔助設計、系統(tǒng)綜合調試與總結等。使學生在數(shù)字電子技術基本知識、實踐能力和綜合素質、創(chuàng)新意識、水平諸方面得到全面提高,為后續(xù)課程的學習,為培養(yǎng)應用型工程技術人才打下重要基礎。通過本課程設計可培養(yǎng)和提高學生的科研素質、工程意識和創(chuàng)新精神。真正實現(xiàn)了理論和實際動手能力相結合的教學改革要求。二、課程設計的要求1、加強對電子技術電路的理解,學會查尋資料、方案比較,以及設計計算等環(huán)節(jié),進一步提高分析解決實際問題的能力。2、獨立開展電路實驗,鍛煉分析、解決電子電路問題的實際本領,真正實現(xiàn)由知識向技能的轉化。3、獨立書寫課程設計報告,報告應能正確反映設計思路和原理,反映安裝、調試中解決各種問題。三、課程設計進度安排1、方案設計;(一天)根據設計任務書給定的技術指導和條件,進行調查研究、查閱參考文獻,進行反復比較和可行性論證,確定出方案電路,畫出主要單元電路,數(shù)據通道,輸入、輸出及重要控制信號概貌的框圖。2、 學習EDA開發(fā)工具:(半天)學習MUXPLUS開發(fā)工具,學習CPLD器件的開發(fā)方法。3、 各單元電路設計:(一天半) 根據方案設計框圖,用原理圖法或者VHDL語言設計個單元模塊,并進行仿真測試。4、 用Top to Down的方法完成系統(tǒng)頂層原理圖的設計:(1天)用Top to Down的方法完成系統(tǒng)頂層原理圖的設計,并進行系統(tǒng)整體功能的仿真。5、 電路制作:(半天)熟悉試驗系統(tǒng),完成對CPLD器件的編程下載,根據設計的電路完成硬件電路的搭接,調試實驗。6、 總結鑒定:(半天)考核樣機是否全面達到現(xiàn)定的技術指標,能否長期可靠地工作,并寫出設計總結報告。四、設計題目及內容智力競賽搶答器(1)、搶答器為四路;(2)、顯示出最先搶答器的號碼;(3)、帶裁判員啟動控制按鈕;(4)、裁判員啟動后,30秒后無組搶答,搶答器停止工作(應顯示30秒遞減時間)。五、設計要求1、 用可編程器件(FPGA/CPLD)設計出所要求的電路;2、 在EDA編程實驗箱上編程、調試出所設計的電路。3、 寫出設計、調試、總結報告。六、使用儀器設備1、 穩(wěn)壓電源(5V,15V);2、 實驗電路箱;3、 低頻信號發(fā)生器;4、 示波器5、 PC機(裝有MAX+PLUSII軟件);6、 YDND1型數(shù)字電子綜合實驗系統(tǒng)等。七、參考文獻1、“模擬電子技術基礎”和“數(shù)字電子技術基礎”教材;2、有關“電子技術課程設計指導書”;3、“集成電路特性應用手冊”;7、 EDA技術使用教程8、 其他。八、設計總結報告主要內容1、 任務及要求;2、 系統(tǒng)整體框圖及方案特點;3、 可編程器件概述;4、 各單元模塊的設計(原理圖設計或者VHDL程序設計)及仿真結果說明 (應結合系統(tǒng)整體框圖寫);5、 頂層原理圖的設計及說明;6、 頂層原理圖的仿真結果及說明;7、 CPLD器件的編程下載;8、 硬件電路的實現(xiàn);9、 實驗結果分析(給出必要的波形,進行測量精度和誤差分析);10、設計、調試中出現(xiàn)問題的解決;11、改進意見及收獲體會等。第 二 部 分課程設計報告目 錄1 設計任務及要求.(19)2 系統(tǒng)總體設計方案.(19)2.1 總體設計方案.(19)2.2 方案特點.(20)3 控制電路設計.(20) 3.1 控制電路基本原理.(20) 3.2 參數(shù)計算.(22)3.3 器件選型.(22)4 振蕩電路設計.(22) 4.1振蕩電路設計基本原理.(22)5 計數(shù)電路器設計.(22) 5.1計數(shù)電路器設計基本原理.(22) 5.2參數(shù)計算.(23)5.3器件選型.(23)6 譯碼顯示電路設計.(23) 6.1譯碼顯示電路工作原理.(23) 6.2參數(shù)計算.(24)6.3器件選型.(24)7系統(tǒng)整體電路設計.(24) 7.1電路說明.(24)8 電路調試.(25)8.1振蕩電路調試及實驗結果分析.(25)8.2 計數(shù)電路調試及實驗結果分析.(25)8.3 系統(tǒng)聯(lián)調及其結果分析.(25)9 改進意見及收獲體會.(26)10 器件明細清單.(26)參考文獻.(27)附錄.(28) 設計成果展示.(28)1 設計任務及要求該電路的根本任務時準確的判斷出第一搶答者的信號并將其鎖存。實現(xiàn)這一功能可用觸發(fā)器和鎖存器等。在得到第一信號后應立即將電路的輸入封鎖,即使其他組再次發(fā)出搶答信號也無效。同時還必須注意,第一搶答信號應該在主持人發(fā)出搶答命令之后才有效。當電路形成第一搶答信號之后,用編碼、譯碼及數(shù)碼顯示電路顯示出搶答者的組別,也可以用發(fā)光二級管直接指示出組別。1 . 基本功能(1)搶答器同時供4名選手或4個代表隊比賽,分別用4個按鈕S0 S3表示。(2)設置一個系統(tǒng)清除和搶答控制開關S,該開關由主持人控制。用來控制系統(tǒng)清零(編號顯示數(shù)碼管滅燈)和搶答的開始。(3)搶答器具有鎖存與顯示功能。即搶答開始后,選手按動按鈕,鎖存相應的編號,并在編號顯示器上顯示該編號。同時封鎖輸入編碼電路,禁止其他選手搶答。優(yōu)先搶答選手的編號一直保持到主持人將系統(tǒng)清除為止。(4)搶答器具有定時搶答功能。要求定時器開始倒計時,并用定時顯示器顯示倒計時時間。參賽選手在設定時間30秒內搶答,搶答有效,同時定時器停止倒計時,編號顯示器上顯示選手的編號,定時顯示器上顯示剩余搶答時間,并保持到主持人將系統(tǒng)清零為止。(5)如果定時搶答時間已到,卻沒有選手搶答時,本次搶答無效。系統(tǒng)揚聲器報警,并封鎖輸入編碼電路,禁止選手超時后搶答,時間顯示器顯示0。2 系統(tǒng)總體設計方案2.1 總體設計方案系統(tǒng)組成框圖圖一 系統(tǒng)組成框圖2.2 方案特點定時搶答器的總體框圖如圖所示,它由主體電路和擴展電路兩部分組成。主體電路完成基本的搶答功能,即開始搶答后,當選手按動搶答鍵時,能顯示選手的編號,同時能封鎖輸入電路,禁止其他選手搶答。擴展電路完成定時搶答的功能。圖中所示的定時搶答器的工作過程是:接通電源時,節(jié)目主持人將開關置于“清除”位置,搶答器處于禁止工作狀態(tài),編號顯示器滅燈,定時顯示器顯示設定的時間,當節(jié)目主持人宣布搶答題目后,說一聲“搶答開始”,同時將控制開關撥到“開始”位置,揚聲器給出聲響提示,搶答器處于工作狀態(tài),定時器倒計時。當定時時間到,卻沒有選手搶答時,系統(tǒng)報警,并封鎖輸入電路,禁止選手超時后搶答。當選手在定時時間內按動搶答鍵時,搶答器要完成以下四項工作:優(yōu)先編碼電路立即分辨出搶答者的編號,并由鎖存器進行鎖存,然后由譯碼顯示電路顯示編號;揚聲器發(fā)出短暫聲響,提醒節(jié)目主持人注意;控制電路要對輸入編碼電路進行封鎖,避免其他選手再次進行搶答;控制電路要使定時器停止工作,時間顯示器上顯示剩余的搶答時間,并保持到主持人將系統(tǒng)清零為止。當選手將問題回答完畢,主持人操作控制開關,使系統(tǒng)回復到禁止工作狀態(tài),以便進行下一輪搶答。3 控制電路設計3.1 控制電路基本原理圖2 搶答鎖存電路圖三 譯碼電路圖二中的搶答部分由4個D觸發(fā)器組成,CLK分別作為四位選手的搶答控制端,每一個D觸發(fā)器D輸入端與另外3個的輸出非端經過與門后相接,構成搶答鎖存功能,即每當四個開光中有一個開關打開時,就會有一個上升沿脈沖,使得輸出非端為低電平,從而使得其余3個的輸入端均為低電平。圖三的譯碼電路將輸入的搶答信號轉換為數(shù)碼管可以識別顯示的信號,即:將搶答輸出:“1000”轉換為“0001”,“0100”轉換為“0010”,“0010”轉換為“0100”,“0001”轉換為“1000”。3.2 參數(shù)計算此次課程設計課題都由開關控制無相關計算內容。3.3 器件選擇一個EPM7128SLC84-6可下載集成塊,三個數(shù)碼管及其三個七段譯碼器,一個蜂鳴器,五個開關。4 振蕩電路設計4.1 振蕩電路工作原理 此次振蕩電路信號由實驗箱上的2Hz頻率信號代替。5 計數(shù)電路設計5.1 計數(shù)電路工作原理圖四 30進制減法計數(shù)電路圖四中g1_g4為個位輸出端,s1-s4為視為輸出端,co端為十進制的進位輸出端,caipan端為主持人控制復位端,jishumaichong端為脈沖 信號輸入端。5.2 參數(shù)計算此次課程設計課題都由開關控制無相關計算內容。5.3 器件選擇 2個同步十進制可逆加減計數(shù)器74192,2個數(shù)碼管6 譯碼顯示電路設計6.1 譯碼顯示電路工作原理圖五 譯碼電路圖五的譯碼電路將輸入的搶答信號轉換為數(shù)碼管可以識別顯示的信號,即:將搶答輸出:“1000”轉換為“0001”,“0100”轉換為“0010”,“0010”轉換為“0100”,“0001”轉換為“1000”。其中qdq為搶答鎖存電路生成的模塊。6.2 參數(shù)計算由輸入輸出邏輯關系得:a=A1A2A3A4+A1A2A3A4b= A1A2A3A4+A1A2A3A4c= A1A2A3A4d=0n= A1+A2+A3+A46.3 器件選型4個4輸入與門2個2輸入或門一個4輸入或門7 總體電路設計圖6 總體電路7.1電路說明(1)Zhchr 為主持人控制輸入端,1,2,3,4為四位選手輸入控制端,Qianda為搶答鎖存以及譯碼電路生成的模塊,30為30進制減法計數(shù)器電路生成的模塊Fmqi為蜂鳴器控制電路生成的模塊,Zhdq為2KHz振蕩信號輸入端,a1,a2,a3為顯示搶答信號選手號碼的數(shù)碼管連接端,b1,b2,b3,b4為顯示個位數(shù)碼管連接端,c1,c2,c3,c4為顯示十位數(shù)碼管連接端(2)當主持人啟動系統(tǒng)時計數(shù)器開始30倒計時,如果選手搶答則顯示搶答選手號碼,計數(shù)器停止并保持顯示搶答時間,另外,蜂鳴器發(fā)出滴滴的響聲提示有人搶答:如果沒人搶答,則計數(shù)器到00時,蜂鳴器發(fā)出滴滴的響聲,搶答系統(tǒng)終止搶答。(3)每次搶答結束后,主持人重新復位開關,再次啟動后才可以進行搶答。8 電路調試8.1振蕩電路調試及實驗結果分析此次課程設計中振蕩信號使用的是實驗箱上的固定2KHz頻率信號。8.2 計數(shù)電路調試及實驗結果分析圖七 計數(shù)仿真波形此電路仿真波形正常8.3 系統(tǒng)聯(lián)調及其結果分析圖八 總電路仿真波形總電路在測試調整仿真中一切正常,與預計的效果及其功能相符合。當主持人啟動系統(tǒng)時計數(shù)器開始30倒計時,如果選手搶答則顯示搶答選手號碼,計數(shù)器停止并保持顯示搶答時間,另外,蜂鳴器發(fā)出滴滴的響聲提示有人搶答:如果沒人搶答,則計數(shù)器到00時,蜂鳴器發(fā)出滴滴的響聲,搶答系統(tǒng)終止搶答。每次搶答結束后,主持人重新復位開關,再次啟動后才可以進行搶答。9 改進意見及收獲體會通過這次課程設計,加強了我們動手、思考和解決問題的能力。在整個設計過程中,我們通過這個方案包括設計了一套電路原理和連接圖,和芯片上的選擇。在設計過程中,經常會遇到這樣那樣的情況,就是心里想老著這樣的接法可以行得通,但實際接上電路,總是實現(xiàn)不了,因此耗費在這上面的時間用去很多。 我沉得做課程設計同時也是對課本知識的鞏固和加強,由于課本上的知識太多,平時課間的學習并不能很好的理解和運用各個元件的功能,而且考試內容有限,所以在這次課程設計過程中,我們了解了很多元件的功能,并且對于其在電路中的使用有了更多的認識。 平時看課本時,有時問題老是弄不懂,做完課程設計,那些問題就迎刃而解了。而且還可以記住很多東西。比如一些芯片的功能,平時看課本,這次看了,下次就忘了,通過動手實踐讓我們對各個元件映象深刻。認識來源于實踐,實踐是認識的動力和最終目的,實踐是檢驗真理的唯一標準。所以這個期末測試之后的課程設計對我們的作用是非常大的。 經過兩個星期的實習,過程曲折可謂一語難盡。在此期間我們也失落過,也曾一度熱情高漲。從開始時滿富盛激情到最后汗水背后的復雜心情,點點滴滴無不令我回味無長。生活就是這樣,汗水預示著結果也見證著收獲。勞動是人類生存生活永恒不變的話題。通過實習,我才真正領略到“艱苦奮斗”這一詞的真正含義,我才意識到老一輩電子設計為我們的社會付出。我想說,設計確實有些辛苦,但苦中也有樂,在如今單一的理論學習中,很少有機會能有實踐的機會,但我們可以,而且設計也是一個團隊的任務,一起的工作可以讓我們有說有笑,相互幫助,配合默契,多少人間歡樂在這里灑下,大學里一年的相處還趕不上這十來天的合作,我感覺我和同學們之間的距離更加近了;我想說,確實很累,但當我們看到自己所做的成果時,心中也不免產生興奮; 正所謂“三百六十行,行行出狀元”。我們同樣可以為社會作出我們應該做的一切,這有什么不好?我們不斷的反問自己。也許有人不喜歡這類的工作,也許有人認為設計的工作有些枯燥,但我們認為無論干什么,只要人生活的有意義就可。社會需要我們,我們也可以為社會而工作。既然如此,那還有什么必要失落呢?于是我們決定沿著自己的路,執(zhí)著的走下去。同時我認為我們的工作是一個團隊的工作,團隊需要個人,個人也離不開團隊,必須發(fā)揚團結協(xié)作的精神。某個人的離群都可能導致導致整項工作的失敗。實習中只有一個人知道原理是遠遠不夠的,必須讓每個人都知道,否則一個人的錯誤,就有可能導致整個工作失敗。團結協(xié)作是我們實習成功的一項非常重要的保證。而這次實習也正好鍛煉我們這一點,這也是非常寶貴的。 而言,知識上的收獲重要,精神上的豐收更加可喜。挫折是一份財富,經歷是一份擁有。這次實習必將成為我人生旅途上一個非常美好的回憶! 這次課程設計使我懂得了理論與實際相結合是很重要的,只有理論知識是遠遠不夠的,只有把所學的理論知識與實踐相結合起來,從理論中得出結論,才能真正為社會服務,從而提高自己的實際動手能力和獨立思考的能力。在設計的過程中遇到問題,可以說得是困難重重,這畢竟第一次做的,難免會遇到過各種各樣的問題,同時在設計的過程中發(fā)現(xiàn)了自己的不足之處,對以前所學過的知識理解得不夠深刻,掌握得不夠牢固。 程設計終于順利完成了,在設計中遇到了很多專業(yè)知識問題,最后在老師的辛勤指導下,終于游逆而解。同時,在老師的身上我們學也到很多實用的知識,在次我們表示感謝!同時,對給過我?guī)椭乃型瑢W和各位指導老師再次表示忠心的感謝!10.元器件清單序號名稱數(shù)量 1EPM7128SLC84-612七段譯碼顯示器74LS4833數(shù) 碼 管34實驗箱15導線若干11. 參考文獻1閻石. 數(shù)字電子技術基礎(第五版).高等教育出版社.2008.52童詩白.模擬電子技術基礎(第四版).高等教育出版社.2006.6附錄設計成果展示

注意事項

本文(數(shù)字電子技術基礎課程設計報告 智力競賽搶答器)為本站會員(仙***)主動上傳,裝配圖網僅提供信息存儲空間,僅對用戶上傳內容的表現(xiàn)方式做保護處理,對上載內容本身不做任何修改或編輯。 若此文所含內容侵犯了您的版權或隱私,請立即通知裝配圖網(點擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因為網速或其他原因下載失敗請重新下載,重復下載不扣分。




關于我們 - 網站聲明 - 網站地圖 - 資源地圖 - 友情鏈接 - 網站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網版權所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網僅提供信息存儲空間,僅對用戶上傳內容的表現(xiàn)方式做保護處理,對上載內容本身不做任何修改或編輯。若文檔所含內容侵犯了您的版權或隱私,請立即通知裝配圖網,我們立即給予刪除!