數(shù)字電子技術(shù)基礎(chǔ)課程設(shè)計(jì)報(bào)告 智力競(jìng)賽搶答器

上傳人:仙*** 文檔編號(hào):28025152 上傳時(shí)間:2021-08-22 格式:DOC 頁數(shù):19 大?。?.04MB
收藏 版權(quán)申訴 舉報(bào) 下載
數(shù)字電子技術(shù)基礎(chǔ)課程設(shè)計(jì)報(bào)告 智力競(jìng)賽搶答器_第1頁
第1頁 / 共19頁
數(shù)字電子技術(shù)基礎(chǔ)課程設(shè)計(jì)報(bào)告 智力競(jìng)賽搶答器_第2頁
第2頁 / 共19頁
數(shù)字電子技術(shù)基礎(chǔ)課程設(shè)計(jì)報(bào)告 智力競(jìng)賽搶答器_第3頁
第3頁 / 共19頁

下載文檔到電腦,查找使用更方便

15 積分

下載資源

還剩頁未讀,繼續(xù)閱讀

資源描述:

《數(shù)字電子技術(shù)基礎(chǔ)課程設(shè)計(jì)報(bào)告 智力競(jìng)賽搶答器》由會(huì)員分享,可在線閱讀,更多相關(guān)《數(shù)字電子技術(shù)基礎(chǔ)課程設(shè)計(jì)報(bào)告 智力競(jìng)賽搶答器(19頁珍藏版)》請(qǐng)?jiān)谘b配圖網(wǎng)上搜索。

1、29揚(yáng)州大學(xué)能源與動(dòng)力工程學(xué)院本科生課程設(shè)計(jì)題 目: 智力競(jìng)賽搶答器 課 程: 數(shù)字電子技術(shù)基礎(chǔ) 專 業(yè): 電氣工程及其自動(dòng)化 班 級(jí): 電氣XXXX班 學(xué) 號(hào): XXXXXX 姓 名: XXXXXX 指導(dǎo)教師: XXX 完成日期: 2012.XXXX 總 目 錄第一部分:任務(wù)書 第二部分:課程設(shè)計(jì)報(bào)告第 一 部 分任務(wù)書數(shù)字電子技術(shù)基礎(chǔ)課程設(shè)計(jì)任務(wù)書一、課程設(shè)計(jì)的目的本課程是在學(xué)完數(shù)字電子技術(shù)基礎(chǔ)、數(shù)字電子技術(shù)實(shí)驗(yàn)之后,集中兩周時(shí)間,進(jìn)行的復(fù)雜程度較高、綜合性較強(qiáng)的設(shè)計(jì)課題的實(shí)做訓(xùn)練。主要包括:方案論證、系統(tǒng)電路分析、單元功能電路設(shè)計(jì)、元器件選擇、安裝調(diào)試、計(jì)算機(jī)輔助設(shè)計(jì)、系統(tǒng)綜合調(diào)試與總結(jié)

2、等。使學(xué)生在數(shù)字電子技術(shù)基本知識(shí)、實(shí)踐能力和綜合素質(zhì)、創(chuàng)新意識(shí)、水平諸方面得到全面提高,為后續(xù)課程的學(xué)習(xí),為培養(yǎng)應(yīng)用型工程技術(shù)人才打下重要基礎(chǔ)。通過本課程設(shè)計(jì)可培養(yǎng)和提高學(xué)生的科研素質(zhì)、工程意識(shí)和創(chuàng)新精神。真正實(shí)現(xiàn)了理論和實(shí)際動(dòng)手能力相結(jié)合的教學(xué)改革要求。二、課程設(shè)計(jì)的要求1、加強(qiáng)對(duì)電子技術(shù)電路的理解,學(xué)會(huì)查尋資料、方案比較,以及設(shè)計(jì)計(jì)算等環(huán)節(jié),進(jìn)一步提高分析解決實(shí)際問題的能力。2、獨(dú)立開展電路實(shí)驗(yàn),鍛煉分析、解決電子電路問題的實(shí)際本領(lǐng),真正實(shí)現(xiàn)由知識(shí)向技能的轉(zhuǎn)化。3、獨(dú)立書寫課程設(shè)計(jì)報(bào)告,報(bào)告應(yīng)能正確反映設(shè)計(jì)思路和原理,反映安裝、調(diào)試中解決各種問題。三、課程設(shè)計(jì)進(jìn)度安排1、方案設(shè)計(jì);(一天)

3、根據(jù)設(shè)計(jì)任務(wù)書給定的技術(shù)指導(dǎo)和條件,進(jìn)行調(diào)查研究、查閱參考文獻(xiàn),進(jìn)行反復(fù)比較和可行性論證,確定出方案電路,畫出主要單元電路,數(shù)據(jù)通道,輸入、輸出及重要控制信號(hào)概貌的框圖。2、 學(xué)習(xí)EDA開發(fā)工具:(半天)學(xué)習(xí)MUXPLUS開發(fā)工具,學(xué)習(xí)CPLD器件的開發(fā)方法。3、 各單元電路設(shè)計(jì):(一天半) 根據(jù)方案設(shè)計(jì)框圖,用原理圖法或者VHDL語言設(shè)計(jì)個(gè)單元模塊,并進(jìn)行仿真測(cè)試。4、 用Top to Down的方法完成系統(tǒng)頂層原理圖的設(shè)計(jì):(1天)用Top to Down的方法完成系統(tǒng)頂層原理圖的設(shè)計(jì),并進(jìn)行系統(tǒng)整體功能的仿真。5、 電路制作:(半天)熟悉試驗(yàn)系統(tǒng),完成對(duì)CPLD器件的編程下載,根據(jù)設(shè)計(jì)的

4、電路完成硬件電路的搭接,調(diào)試實(shí)驗(yàn)。6、 總結(jié)鑒定:(半天)考核樣機(jī)是否全面達(dá)到現(xiàn)定的技術(shù)指標(biāo),能否長(zhǎng)期可靠地工作,并寫出設(shè)計(jì)總結(jié)報(bào)告。四、設(shè)計(jì)題目及內(nèi)容智力競(jìng)賽搶答器(1)、搶答器為四路;(2)、顯示出最先搶答器的號(hào)碼;(3)、帶裁判員啟動(dòng)控制按鈕;(4)、裁判員啟動(dòng)后,30秒后無組搶答,搶答器停止工作(應(yīng)顯示30秒遞減時(shí)間)。五、設(shè)計(jì)要求1、 用可編程器件(FPGA/CPLD)設(shè)計(jì)出所要求的電路;2、 在EDA編程實(shí)驗(yàn)箱上編程、調(diào)試出所設(shè)計(jì)的電路。3、 寫出設(shè)計(jì)、調(diào)試、總結(jié)報(bào)告。六、使用儀器設(shè)備1、 穩(wěn)壓電源(5V,15V);2、 實(shí)驗(yàn)電路箱;3、 低頻信號(hào)發(fā)生器;4、 示波器5、 PC機(jī)(

5、裝有MAX+PLUSII軟件);6、 YDND1型數(shù)字電子綜合實(shí)驗(yàn)系統(tǒng)等。七、參考文獻(xiàn)1、“模擬電子技術(shù)基礎(chǔ)”和“數(shù)字電子技術(shù)基礎(chǔ)”教材;2、有關(guān)“電子技術(shù)課程設(shè)計(jì)指導(dǎo)書”;3、“集成電路特性應(yīng)用手冊(cè)”;7、 EDA技術(shù)使用教程8、 其他。八、設(shè)計(jì)總結(jié)報(bào)告主要內(nèi)容1、 任務(wù)及要求;2、 系統(tǒng)整體框圖及方案特點(diǎn);3、 可編程器件概述;4、 各單元模塊的設(shè)計(jì)(原理圖設(shè)計(jì)或者VHDL程序設(shè)計(jì))及仿真結(jié)果說明 (應(yīng)結(jié)合系統(tǒng)整體框圖寫);5、 頂層原理圖的設(shè)計(jì)及說明;6、 頂層原理圖的仿真結(jié)果及說明;7、 CPLD器件的編程下載;8、 硬件電路的實(shí)現(xiàn);9、 實(shí)驗(yàn)結(jié)果分析(給出必要的波形,進(jìn)行測(cè)量精度和誤

6、差分析);10、設(shè)計(jì)、調(diào)試中出現(xiàn)問題的解決;11、改進(jìn)意見及收獲體會(huì)等。第 二 部 分課程設(shè)計(jì)報(bào)告目 錄1 設(shè)計(jì)任務(wù)及要求.(19)2 系統(tǒng)總體設(shè)計(jì)方案.(19)2.1 總體設(shè)計(jì)方案.(19)2.2 方案特點(diǎn).(20)3 控制電路設(shè)計(jì).(20) 3.1 控制電路基本原理.(20) 3.2 參數(shù)計(jì)算.(22)3.3 器件選型.(22)4 振蕩電路設(shè)計(jì).(22) 4.1振蕩電路設(shè)計(jì)基本原理.(22)5 計(jì)數(shù)電路器設(shè)計(jì).(22) 5.1計(jì)數(shù)電路器設(shè)計(jì)基本原理.(22) 5.2參數(shù)計(jì)算.(23)5.3器件選型.(23)6 譯碼顯示電路設(shè)計(jì).(23) 6.1譯碼顯示電路工作原理.(23) 6.2參數(shù)計(jì)算

7、.(24)6.3器件選型.(24)7系統(tǒng)整體電路設(shè)計(jì).(24) 7.1電路說明.(24)8 電路調(diào)試.(25)8.1振蕩電路調(diào)試及實(shí)驗(yàn)結(jié)果分析.(25)8.2 計(jì)數(shù)電路調(diào)試及實(shí)驗(yàn)結(jié)果分析.(25)8.3 系統(tǒng)聯(lián)調(diào)及其結(jié)果分析.(25)9 改進(jìn)意見及收獲體會(huì).(26)10 器件明細(xì)清單.(26)參考文獻(xiàn).(27)附錄.(28) 設(shè)計(jì)成果展示.(28)1 設(shè)計(jì)任務(wù)及要求該電路的根本任務(wù)時(shí)準(zhǔn)確的判斷出第一搶答者的信號(hào)并將其鎖存。實(shí)現(xiàn)這一功能可用觸發(fā)器和鎖存器等。在得到第一信號(hào)后應(yīng)立即將電路的輸入封鎖,即使其他組再次發(fā)出搶答信號(hào)也無效。同時(shí)還必須注意,第一搶答信號(hào)應(yīng)該在主持人發(fā)出搶答命令之后才有效。當(dāng)

8、電路形成第一搶答信號(hào)之后,用編碼、譯碼及數(shù)碼顯示電路顯示出搶答者的組別,也可以用發(fā)光二級(jí)管直接指示出組別。1 . 基本功能(1)搶答器同時(shí)供4名選手或4個(gè)代表隊(duì)比賽,分別用4個(gè)按鈕S0 S3表示。(2)設(shè)置一個(gè)系統(tǒng)清除和搶答控制開關(guān)S,該開關(guān)由主持人控制。用來控制系統(tǒng)清零(編號(hào)顯示數(shù)碼管滅燈)和搶答的開始。(3)搶答器具有鎖存與顯示功能。即搶答開始后,選手按動(dòng)按鈕,鎖存相應(yīng)的編號(hào),并在編號(hào)顯示器上顯示該編號(hào)。同時(shí)封鎖輸入編碼電路,禁止其他選手搶答。優(yōu)先搶答選手的編號(hào)一直保持到主持人將系統(tǒng)清除為止。(4)搶答器具有定時(shí)搶答功能。要求定時(shí)器開始倒計(jì)時(shí),并用定時(shí)顯示器顯示倒計(jì)時(shí)時(shí)間。參賽選手在設(shè)定時(shí)

9、間30秒內(nèi)搶答,搶答有效,同時(shí)定時(shí)器停止倒計(jì)時(shí),編號(hào)顯示器上顯示選手的編號(hào),定時(shí)顯示器上顯示剩余搶答時(shí)間,并保持到主持人將系統(tǒng)清零為止。(5)如果定時(shí)搶答時(shí)間已到,卻沒有選手搶答時(shí),本次搶答無效。系統(tǒng)揚(yáng)聲器報(bào)警,并封鎖輸入編碼電路,禁止選手超時(shí)后搶答,時(shí)間顯示器顯示0。2 系統(tǒng)總體設(shè)計(jì)方案2.1 總體設(shè)計(jì)方案系統(tǒng)組成框圖圖一 系統(tǒng)組成框圖2.2 方案特點(diǎn)定時(shí)搶答器的總體框圖如圖所示,它由主體電路和擴(kuò)展電路兩部分組成。主體電路完成基本的搶答功能,即開始搶答后,當(dāng)選手按動(dòng)搶答鍵時(shí),能顯示選手的編號(hào),同時(shí)能封鎖輸入電路,禁止其他選手搶答。擴(kuò)展電路完成定時(shí)搶答的功能。圖中所示的定時(shí)搶答器的工作過程是:

10、接通電源時(shí),節(jié)目主持人將開關(guān)置于“清除”位置,搶答器處于禁止工作狀態(tài),編號(hào)顯示器滅燈,定時(shí)顯示器顯示設(shè)定的時(shí)間,當(dāng)節(jié)目主持人宣布搶答題目后,說一聲“搶答開始”,同時(shí)將控制開關(guān)撥到“開始”位置,揚(yáng)聲器給出聲響提示,搶答器處于工作狀態(tài),定時(shí)器倒計(jì)時(shí)。當(dāng)定時(shí)時(shí)間到,卻沒有選手搶答時(shí),系統(tǒng)報(bào)警,并封鎖輸入電路,禁止選手超時(shí)后搶答。當(dāng)選手在定時(shí)時(shí)間內(nèi)按動(dòng)搶答鍵時(shí),搶答器要完成以下四項(xiàng)工作:優(yōu)先編碼電路立即分辨出搶答者的編號(hào),并由鎖存器進(jìn)行鎖存,然后由譯碼顯示電路顯示編號(hào);揚(yáng)聲器發(fā)出短暫聲響,提醒節(jié)目主持人注意;控制電路要對(duì)輸入編碼電路進(jìn)行封鎖,避免其他選手再次進(jìn)行搶答;控制電路要使定時(shí)器停止工作,時(shí)間顯

11、示器上顯示剩余的搶答時(shí)間,并保持到主持人將系統(tǒng)清零為止。當(dāng)選手將問題回答完畢,主持人操作控制開關(guān),使系統(tǒng)回復(fù)到禁止工作狀態(tài),以便進(jìn)行下一輪搶答。3 控制電路設(shè)計(jì)3.1 控制電路基本原理圖2 搶答鎖存電路圖三 譯碼電路圖二中的搶答部分由4個(gè)D觸發(fā)器組成,CLK分別作為四位選手的搶答控制端,每一個(gè)D觸發(fā)器D輸入端與另外3個(gè)的輸出非端經(jīng)過與門后相接,構(gòu)成搶答鎖存功能,即每當(dāng)四個(gè)開光中有一個(gè)開關(guān)打開時(shí),就會(huì)有一個(gè)上升沿脈沖,使得輸出非端為低電平,從而使得其余3個(gè)的輸入端均為低電平。圖三的譯碼電路將輸入的搶答信號(hào)轉(zhuǎn)換為數(shù)碼管可以識(shí)別顯示的信號(hào),即:將搶答輸出:“1000”轉(zhuǎn)換為“0001”,“0100”

12、轉(zhuǎn)換為“0010”,“0010”轉(zhuǎn)換為“0100”,“0001”轉(zhuǎn)換為“1000”。3.2 參數(shù)計(jì)算此次課程設(shè)計(jì)課題都由開關(guān)控制無相關(guān)計(jì)算內(nèi)容。3.3 器件選擇一個(gè)EPM7128SLC84-6可下載集成塊,三個(gè)數(shù)碼管及其三個(gè)七段譯碼器,一個(gè)蜂鳴器,五個(gè)開關(guān)。4 振蕩電路設(shè)計(jì)4.1 振蕩電路工作原理 此次振蕩電路信號(hào)由實(shí)驗(yàn)箱上的2Hz頻率信號(hào)代替。5 計(jì)數(shù)電路設(shè)計(jì)5.1 計(jì)數(shù)電路工作原理圖四 30進(jìn)制減法計(jì)數(shù)電路圖四中g(shù)1_g4為個(gè)位輸出端,s1-s4為視為輸出端,co端為十進(jìn)制的進(jìn)位輸出端,caipan端為主持人控制復(fù)位端,jishumaichong端為脈沖 信號(hào)輸入端。5.2 參數(shù)計(jì)算此次課

13、程設(shè)計(jì)課題都由開關(guān)控制無相關(guān)計(jì)算內(nèi)容。5.3 器件選擇 2個(gè)同步十進(jìn)制可逆加減計(jì)數(shù)器74192,2個(gè)數(shù)碼管6 譯碼顯示電路設(shè)計(jì)6.1 譯碼顯示電路工作原理圖五 譯碼電路圖五的譯碼電路將輸入的搶答信號(hào)轉(zhuǎn)換為數(shù)碼管可以識(shí)別顯示的信號(hào),即:將搶答輸出:“1000”轉(zhuǎn)換為“0001”,“0100”轉(zhuǎn)換為“0010”,“0010”轉(zhuǎn)換為“0100”,“0001”轉(zhuǎn)換為“1000”。其中qdq為搶答鎖存電路生成的模塊。6.2 參數(shù)計(jì)算由輸入輸出邏輯關(guān)系得:a=A1A2A3A4+A1A2A3A4b= A1A2A3A4+A1A2A3A4c= A1A2A3A4d=0n= A1+A2+A3+A46.3 器件選型4

14、個(gè)4輸入與門2個(gè)2輸入或門一個(gè)4輸入或門7 總體電路設(shè)計(jì)圖6 總體電路7.1電路說明(1)Zhchr 為主持人控制輸入端,1,2,3,4為四位選手輸入控制端,Qianda為搶答鎖存以及譯碼電路生成的模塊,30為30進(jìn)制減法計(jì)數(shù)器電路生成的模塊Fmqi為蜂鳴器控制電路生成的模塊,Zhdq為2KHz振蕩信號(hào)輸入端,a1,a2,a3為顯示搶答信號(hào)選手號(hào)碼的數(shù)碼管連接端,b1,b2,b3,b4為顯示個(gè)位數(shù)碼管連接端,c1,c2,c3,c4為顯示十位數(shù)碼管連接端(2)當(dāng)主持人啟動(dòng)系統(tǒng)時(shí)計(jì)數(shù)器開始30倒計(jì)時(shí),如果選手搶答則顯示搶答選手號(hào)碼,計(jì)數(shù)器停止并保持顯示搶答時(shí)間,另外,蜂鳴器發(fā)出滴滴的響聲提示有人搶

15、答:如果沒人搶答,則計(jì)數(shù)器到00時(shí),蜂鳴器發(fā)出滴滴的響聲,搶答系統(tǒng)終止搶答。(3)每次搶答結(jié)束后,主持人重新復(fù)位開關(guān),再次啟動(dòng)后才可以進(jìn)行搶答。8 電路調(diào)試8.1振蕩電路調(diào)試及實(shí)驗(yàn)結(jié)果分析此次課程設(shè)計(jì)中振蕩信號(hào)使用的是實(shí)驗(yàn)箱上的固定2KHz頻率信號(hào)。8.2 計(jì)數(shù)電路調(diào)試及實(shí)驗(yàn)結(jié)果分析圖七 計(jì)數(shù)仿真波形此電路仿真波形正常8.3 系統(tǒng)聯(lián)調(diào)及其結(jié)果分析圖八 總電路仿真波形總電路在測(cè)試調(diào)整仿真中一切正常,與預(yù)計(jì)的效果及其功能相符合。當(dāng)主持人啟動(dòng)系統(tǒng)時(shí)計(jì)數(shù)器開始30倒計(jì)時(shí),如果選手搶答則顯示搶答選手號(hào)碼,計(jì)數(shù)器停止并保持顯示搶答時(shí)間,另外,蜂鳴器發(fā)出滴滴的響聲提示有人搶答:如果沒人搶答,則計(jì)數(shù)器到00時(shí)

16、,蜂鳴器發(fā)出滴滴的響聲,搶答系統(tǒng)終止搶答。每次搶答結(jié)束后,主持人重新復(fù)位開關(guān),再次啟動(dòng)后才可以進(jìn)行搶答。9 改進(jìn)意見及收獲體會(huì)通過這次課程設(shè)計(jì),加強(qiáng)了我們動(dòng)手、思考和解決問題的能力。在整個(gè)設(shè)計(jì)過程中,我們通過這個(gè)方案包括設(shè)計(jì)了一套電路原理和連接圖,和芯片上的選擇。在設(shè)計(jì)過程中,經(jīng)常會(huì)遇到這樣那樣的情況,就是心里想老著這樣的接法可以行得通,但實(shí)際接上電路,總是實(shí)現(xiàn)不了,因此耗費(fèi)在這上面的時(shí)間用去很多。 我沉得做課程設(shè)計(jì)同時(shí)也是對(duì)課本知識(shí)的鞏固和加強(qiáng),由于課本上的知識(shí)太多,平時(shí)課間的學(xué)習(xí)并不能很好的理解和運(yùn)用各個(gè)元件的功能,而且考試內(nèi)容有限,所以在這次課程設(shè)計(jì)過程中,我們了解了很多元件的功能,并且

17、對(duì)于其在電路中的使用有了更多的認(rèn)識(shí)。 平時(shí)看課本時(shí),有時(shí)問題老是弄不懂,做完課程設(shè)計(jì),那些問題就迎刃而解了。而且還可以記住很多東西。比如一些芯片的功能,平時(shí)看課本,這次看了,下次就忘了,通過動(dòng)手實(shí)踐讓我們對(duì)各個(gè)元件映象深刻。認(rèn)識(shí)來源于實(shí)踐,實(shí)踐是認(rèn)識(shí)的動(dòng)力和最終目的,實(shí)踐是檢驗(yàn)真理的唯一標(biāo)準(zhǔn)。所以這個(gè)期末測(cè)試之后的課程設(shè)計(jì)對(duì)我們的作用是非常大的。 經(jīng)過兩個(gè)星期的實(shí)習(xí),過程曲折可謂一語難盡。在此期間我們也失落過,也曾一度熱情高漲。從開始時(shí)滿富盛激情到最后汗水背后的復(fù)雜心情,點(diǎn)點(diǎn)滴滴無不令我回味無長(zhǎng)。生活就是這樣,汗水預(yù)示著結(jié)果也見證著收獲。勞動(dòng)是人類生存生活永恒不變的話題。通過實(shí)習(xí),我才真正領(lǐng)略

18、到“艱苦奮斗”這一詞的真正含義,我才意識(shí)到老一輩電子設(shè)計(jì)為我們的社會(huì)付出。我想說,設(shè)計(jì)確實(shí)有些辛苦,但苦中也有樂,在如今單一的理論學(xué)習(xí)中,很少有機(jī)會(huì)能有實(shí)踐的機(jī)會(huì),但我們可以,而且設(shè)計(jì)也是一個(gè)團(tuán)隊(duì)的任務(wù),一起的工作可以讓我們有說有笑,相互幫助,配合默契,多少人間歡樂在這里灑下,大學(xué)里一年的相處還趕不上這十來天的合作,我感覺我和同學(xué)們之間的距離更加近了;我想說,確實(shí)很累,但當(dāng)我們看到自己所做的成果時(shí),心中也不免產(chǎn)生興奮; 正所謂“三百六十行,行行出狀元”。我們同樣可以為社會(huì)作出我們應(yīng)該做的一切,這有什么不好?我們不斷的反問自己。也許有人不喜歡這類的工作,也許有人認(rèn)為設(shè)計(jì)的工作有些枯燥,但我們認(rèn)為

19、無論干什么,只要人生活的有意義就可。社會(huì)需要我們,我們也可以為社會(huì)而工作。既然如此,那還有什么必要失落呢?于是我們決定沿著自己的路,執(zhí)著的走下去。同時(shí)我認(rèn)為我們的工作是一個(gè)團(tuán)隊(duì)的工作,團(tuán)隊(duì)需要個(gè)人,個(gè)人也離不開團(tuán)隊(duì),必須發(fā)揚(yáng)團(tuán)結(jié)協(xié)作的精神。某個(gè)人的離群都可能導(dǎo)致導(dǎo)致整項(xiàng)工作的失敗。實(shí)習(xí)中只有一個(gè)人知道原理是遠(yuǎn)遠(yuǎn)不夠的,必須讓每個(gè)人都知道,否則一個(gè)人的錯(cuò)誤,就有可能導(dǎo)致整個(gè)工作失敗。團(tuán)結(jié)協(xié)作是我們實(shí)習(xí)成功的一項(xiàng)非常重要的保證。而這次實(shí)習(xí)也正好鍛煉我們這一點(diǎn),這也是非常寶貴的。 而言,知識(shí)上的收獲重要,精神上的豐收更加可喜。挫折是一份財(cái)富,經(jīng)歷是一份擁有。這次實(shí)習(xí)必將成為我人生旅途上一個(gè)非常美好的

20、回憶! 這次課程設(shè)計(jì)使我懂得了理論與實(shí)際相結(jié)合是很重要的,只有理論知識(shí)是遠(yuǎn)遠(yuǎn)不夠的,只有把所學(xué)的理論知識(shí)與實(shí)踐相結(jié)合起來,從理論中得出結(jié)論,才能真正為社會(huì)服務(wù),從而提高自己的實(shí)際動(dòng)手能力和獨(dú)立思考的能力。在設(shè)計(jì)的過程中遇到問題,可以說得是困難重重,這畢竟第一次做的,難免會(huì)遇到過各種各樣的問題,同時(shí)在設(shè)計(jì)的過程中發(fā)現(xiàn)了自己的不足之處,對(duì)以前所學(xué)過的知識(shí)理解得不夠深刻,掌握得不夠牢固。 程設(shè)計(jì)終于順利完成了,在設(shè)計(jì)中遇到了很多專業(yè)知識(shí)問題,最后在老師的辛勤指導(dǎo)下,終于游逆而解。同時(shí),在老師的身上我們學(xué)也到很多實(shí)用的知識(shí),在次我們表示感謝!同時(shí),對(duì)給過我?guī)椭乃型瑢W(xué)和各位指導(dǎo)老師再次表示忠心的感謝!10.元器件清單序號(hào)名稱數(shù)量 1EPM7128SLC84-612七段譯碼顯示器74LS4833數(shù) 碼 管34實(shí)驗(yàn)箱15導(dǎo)線若干11. 參考文獻(xiàn)1閻石. 數(shù)字電子技術(shù)基礎(chǔ)(第五版).高等教育出版社.2008.52童詩白.模擬電子技術(shù)基礎(chǔ)(第四版).高等教育出版社.2006.6附錄設(shè)計(jì)成果展示

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!