正弦發(fā)生電路的設(shè)計(jì)畢業(yè)設(shè)計(jì)論文(論文)

上傳人:沈*** 文檔編號(hào):42566169 上傳時(shí)間:2021-11-26 格式:DOC 頁數(shù):22 大?。?81.03KB
收藏 版權(quán)申訴 舉報(bào) 下載
正弦發(fā)生電路的設(shè)計(jì)畢業(yè)設(shè)計(jì)論文(論文)_第1頁
第1頁 / 共22頁
正弦發(fā)生電路的設(shè)計(jì)畢業(yè)設(shè)計(jì)論文(論文)_第2頁
第2頁 / 共22頁
正弦發(fā)生電路的設(shè)計(jì)畢業(yè)設(shè)計(jì)論文(論文)_第3頁
第3頁 / 共22頁

下載文檔到電腦,查找使用更方便

10 積分

下載資源

還剩頁未讀,繼續(xù)閱讀

資源描述:

《正弦發(fā)生電路的設(shè)計(jì)畢業(yè)設(shè)計(jì)論文(論文)》由會(huì)員分享,可在線閱讀,更多相關(guān)《正弦發(fā)生電路的設(shè)計(jì)畢業(yè)設(shè)計(jì)論文(論文)(22頁珍藏版)》請(qǐng)?jiān)谘b配圖網(wǎng)上搜索。

1、 1 引言1.1 DDS的簡(jiǎn)單介紹DDS同 DSP(數(shù)字信號(hào)處理)一樣,是一項(xiàng)關(guān)鍵的數(shù)字化技術(shù)。DDS是直接數(shù)字式頻率合成器(Direct Digital Synthesizer)的英文縮寫。與傳統(tǒng)的頻率合成器相比,DDS具有低成本、低功耗、高分辨率和快速轉(zhuǎn)換時(shí)間等優(yōu)點(diǎn),廣泛使用在電信與電子儀器領(lǐng)域,是實(shí)現(xiàn)設(shè)備全數(shù)字化的一個(gè)關(guān)鍵技術(shù)?,F(xiàn)代電子測(cè)量工作隊(duì)波形發(fā)生器的性能提出了更高的要求,如輸出波形質(zhì)量更好、頻率寬帶更寬、頻率更穩(wěn)定、準(zhǔn)確度及 分辨率更高、頻率轉(zhuǎn)換速度且輸出波形相位連續(xù)等。DDS芯片中主要包括頻率控制寄存器、高速相位累加器和正弦計(jì)算器三個(gè)部分。頻率控制寄存器可以串行或并行的方式裝載

2、并寄存用戶輸入的頻率控制碼;而相位累加器根據(jù)頻率控制碼在每個(gè)時(shí)鐘周期內(nèi)進(jìn)行相位累加,得到一個(gè)相位值;正弦計(jì)算器則對(duì)該相位值計(jì)算數(shù)字化正弦波幅度(芯片一般通過查表得到)。DDS芯片輸出的一般是數(shù)字化的正弦波,因此還需經(jīng)過高速D/A轉(zhuǎn)換器和低通濾波器才能得到一個(gè)可用的模擬頻率信號(hào)。1.2 DDS的發(fā)展及其優(yōu)點(diǎn)DDS是全數(shù)字化技術(shù)、其幅度、相位、頻率均可實(shí)現(xiàn)程控,并可通過更換波形數(shù)據(jù)靈活實(shí)現(xiàn)任意波形,此外,DDS易于單片集成,體積小,價(jià)格低,功耗小,因此,DDS技術(shù)近年來得到了飛速發(fā)展,其應(yīng)用也越來越廣泛。在各行各業(yè)的測(cè)試應(yīng)用中,信號(hào)源扮演著極為重要的作用。但信號(hào)源具有許多不同的類型,不同類型的信號(hào)

3、源在功能和特性上各不相同,分別適用于許多不同的應(yīng)用。目前,最常見的信號(hào)源類型包括任意波形發(fā)生器,函數(shù)發(fā)生器,RF信號(hào)源,以及基本的模擬輸出模塊。信號(hào)源中采用DDS技術(shù)在當(dāng)前的測(cè)試測(cè)量行業(yè)已經(jīng)逐漸稱為一種主流的做法。 DDS有如下優(yōu)點(diǎn):1.頻率分辨率高,輸出頻點(diǎn)多,可達(dá)2的N次方個(gè)頻點(diǎn)(N為相位累加器位數(shù)); 2.頻率切換速度快,可達(dá)us量級(jí); 3.頻率切換時(shí)相位連續(xù); 4.可以輸出寬帶正交信號(hào); 5.輸出相位噪聲低,對(duì)參考頻率源的相位噪聲有改善作用;6.可以產(chǎn)生任意波形; 7.全數(shù)字化實(shí)現(xiàn),便于集成,體積小,重量輕。1.3本文的主要內(nèi)容 本文主要是運(yùn)用DDS技術(shù)產(chǎn)生一個(gè)正弦發(fā)生電路的設(shè)計(jì),它是

4、一種全數(shù)字頻率合成技術(shù),它完全沒有振蕩元件和鎖相環(huán),而是用一連串?dāng)?shù)據(jù)流經(jīng)過數(shù)模轉(zhuǎn)換器產(chǎn)生出一個(gè)預(yù)先設(shè)定的模擬信號(hào)(正弦信號(hào))。它將先進(jìn)的數(shù)字信號(hào)處理理論與方法引入信號(hào)合成領(lǐng)域,實(shí)現(xiàn)了合成信號(hào)的頻率轉(zhuǎn)換速度與頻率準(zhǔn)確度之間的統(tǒng)一。 1.3.1 DDS的主要芯片介紹 如表1-1所示,介紹由AD公司生產(chǎn)的幾款DDS芯片的性能指標(biāo)。本設(shè)計(jì)中采用的DDS芯片是AD9850。AD9850是AD公司生產(chǎn)的最高時(shí)鐘為125MHz的直接頻率合成器,主要由可編程DDS系統(tǒng)、高性能模數(shù)變換器(DAC)和高速比較器3部分構(gòu)成。能實(shí)現(xiàn)全數(shù)字編程控制的頻率合成,并具有時(shí)鐘產(chǎn)生功能。表1-1DDS的主要芯片介紹2 DDS技

5、術(shù)產(chǎn)生信號(hào)波形的原理2.1 DDS的基本結(jié)構(gòu)及基本工作原理直接數(shù)字頻率合成器(Direct Digital Synthesizer)是一種把一系列數(shù)字量形式的信號(hào)通過DAC轉(zhuǎn)換成模擬量形式的信號(hào)合成技術(shù)。目前使用最廣泛的一種DDS方式是利用高速存儲(chǔ)器作查尋表,然后通過高速DAC產(chǎn)生已經(jīng)用數(shù)字形式存入的正弦波。一個(gè)直接數(shù)字頻率合成器由頻率控制字、相位累加器、波形存儲(chǔ)ROM(正弦查詢表)、D/A轉(zhuǎn)換器和低通濾波器(LPF)構(gòu)成。參考時(shí)鐘為高穩(wěn)定度的晶體振蕩器,其輸出用于同步DDS各組成部分的工作。DDS的原理框圖如圖2-1所示:圖2-1 DDS原理框圖DDS系統(tǒng)的核心是相位累加器,它由一個(gè)加法器與

6、一個(gè)N位相位寄存器構(gòu)成。每來一個(gè)時(shí)鐘脈沖,加法器將頻率控制數(shù)據(jù)與累加寄存器輸出的累加相位數(shù)據(jù)相加,把相加后的結(jié)果送至累加寄存器的數(shù)據(jù)輸入端。累加寄存器將加法器在上一個(gè)時(shí)鐘作用后所產(chǎn)生的新相位數(shù)據(jù)反饋到加法器的輸入端,以使加法器在下一個(gè)時(shí)鐘的作用下繼續(xù)與頻率控制數(shù)據(jù)相加。這樣,相位累加器在參考時(shí)鐘的作用下,進(jìn)行線性相位累加,當(dāng)相位累加器累加滿量時(shí)就會(huì)產(chǎn)生一次溢出,完成一個(gè)周期性的動(dòng)作,這個(gè)周期就是DDS合成信號(hào)的一個(gè)頻率周期,累加器的溢出頻率就是輸出的信號(hào)頻率。正弦查詢表是一個(gè)可編程只讀存儲(chǔ)器,存儲(chǔ)的是以相位為地址的一個(gè)周期正弦信號(hào)的采樣編碼值,包含一個(gè)周期正弦波的數(shù)字幅度信息,每個(gè)地址對(duì)應(yīng)與正

7、弦波中0o-360o范圍的一個(gè)相位點(diǎn)。將相位寄存器的輸出與相位控制字相加得到的數(shù)據(jù)作為一個(gè)地址對(duì)正弦查詢表進(jìn)行尋址,查詢表把輸入的地址相位信息映射成正弦波幅度信號(hào),驅(qū)動(dòng)DAC,輸出模擬信號(hào),低通濾波器平滑并濾除不需要的取樣分量,以便輸出頻譜純凈的正弦波信號(hào)。對(duì)于計(jì)數(shù)容量為2N的相位累加器和具有M個(gè)相位取樣的正弦波波形存儲(chǔ)器,若頻率控制字為,輸出信號(hào)頻率為,參考時(shí)鐘頻率為,則DDS系統(tǒng)輸出信號(hào)的頻率為。2.1.1 頻率控制字的作用被稱為頻率控制字,也叫相位增量。DDS方程為:,為輸出信號(hào)頻率, 為參考時(shí)鐘頻率。當(dāng)=1時(shí),DDS輸出最低頻率(也即頻率分辨率),為,而DDS的最大輸出頻率由奈奎斯特(

8、Nyquist)采樣定理決定,即,也就是說的最大值為。因此,只要足夠大,DDS可以得到很細(xì)的頻率間隔。要改變DDS的輸出頻率,只要改變控制字即可。2.1.2累加器 相位累加器由位加法器與位寄存器級(jí)聯(lián)構(gòu)成。每來一個(gè)時(shí)鐘脈沖 ,加法器將頻率控制字與寄存器輸出的累加相位數(shù)據(jù)相加,再把相加后的結(jié)果送至寄存器的數(shù)據(jù)輸入端。寄存器將加法器在上一個(gè)時(shí)鐘作用下繼續(xù)與頻率控制字進(jìn)行相加。這樣,相位累加器在時(shí)鐘的作用下,進(jìn)行相位累加。當(dāng)相位累加器累加滿時(shí)就會(huì)產(chǎn)生一次溢出,完成一個(gè)周期性的動(dòng)作。2.2.3 控制相位的加法器通過改變相位控制字可以控制輸出信號(hào)的相位參數(shù)。令相位加法器的字長(zhǎng)為,當(dāng)相位控制字由0躍變到(0

9、)時(shí),波形存儲(chǔ)器的輸入為相位累加器的輸出與相位控制字之和,因而其輸出的幅度編碼相位會(huì)增加,從而使最后輸出的信號(hào)產(chǎn)生相移。2.2.4 控制波形的加法器通過改變波形控制字W可以控制輸出信號(hào)的波形。由于波形存儲(chǔ)器中的不同波形是分塊存儲(chǔ)的,所以當(dāng)波形控制字改變時(shí),波形存儲(chǔ)器的輸入為改變相位后的地址與波形控制字W(波形地址)之和,從而使最后輸出的信號(hào)產(chǎn)和相移。2.2.6 D/A轉(zhuǎn)換器D/A轉(zhuǎn)換器的作用是把合成的正弦波數(shù)字量轉(zhuǎn)換成模擬量。正弦幅度量化序列經(jīng)D/A轉(zhuǎn)換后變成了包絡(luò)為正弦波的階梯波。需要注意的是,頻率合成器對(duì)D/A轉(zhuǎn)換器的分辨率有一定的要求,D/A轉(zhuǎn)換器的分辨率越高,合成的正弦波臺(tái)階數(shù)就越多,

10、輸出的波形的精度也就越高。2.2.7 低通濾波器對(duì)D/A輸出的階梯波進(jìn)行頻譜分析,可知輸出的階梯波中除主頻外,還存在分布在,等等的兩邊處的非諧波分量。因此,為了取出主頻,必須在D/A轉(zhuǎn)換器的輸出端接入截止頻率為的低通濾波器。2.2 DDS的數(shù)學(xué)原理設(shè)有一頻率為的余弦信號(hào):現(xiàn)在以采樣頻率對(duì)進(jìn)行采樣,得到的離散序列為: 其中為采樣周期。對(duì)應(yīng)的相位序列為 從上式可以看出相位序列呈線性,即相鄰的樣值之間的相位增量是一個(gè)常數(shù),而且這個(gè)常數(shù)僅與信號(hào)的頻率有關(guān),相位增量為:因?yàn)樾盘?hào)頻率與采樣頻率之間有以下關(guān)系: 其中與為兩個(gè)正整數(shù),所以相位的增量也可以完成:由上式可知,若將的相位均勻的分為等份,那么頻率為的

11、余弦信號(hào)以頻率采樣后,它的量化序列的樣品之間的量化相位增量為一個(gè)不變值。根據(jù)上述原理可以構(gòu)造一個(gè)不變量為量化相位增量的量化序列: 然后完成從到另一個(gè)序列的映射,由構(gòu)造序列: 公式(21)公式(2-1)是連續(xù)信號(hào)經(jīng)采樣頻率為采樣后的離散時(shí)間序列,根據(jù)采樣定理,當(dāng)時(shí),經(jīng)過低通濾波器平滑后,可唯一恢復(fù)出。 可見,通過上述變換不變量將唯一的確定一個(gè)單頻率模擬余弦信號(hào): 該信號(hào)的頻率為: 公式(22)公式(22)就是直接數(shù)字頻率合成(DDS)的方程式,在實(shí)際的DDS中,一般取,于是DDS方程就可以寫成: 公式(23)根據(jù)公式(23)可知,要得到不同的頻率只要通過改變的具體數(shù)值就可以了,而且還可以得到DD

12、S的最小頻率分辨率(最小頻率間隔)為當(dāng)時(shí)的輸出頻率:可見當(dāng)參考頻率始終一定是,其分辨率由相位累加器的位數(shù)決定,若取,則,即分辨率可以達(dá)到,這也是最低的合成頻率,輸出頻率的高精度DDS的一大優(yōu)點(diǎn)。由奈奎斯特準(zhǔn)則可知,允許輸出的最高頻率,即,但實(shí)際上在應(yīng)用中受到低通濾波器的限制,通常,以便于濾波鏡像頻率,一般:由此可見DDS的工作頻率帶較寬,可以合成從直流到的頻率信號(hào),同時(shí)它的輸出相位連續(xù),頻率穩(wěn)定度高。3 總體設(shè)計(jì)方案31系統(tǒng)設(shè)計(jì)原理本文提出的采用DDS作為信號(hào)發(fā)生核心器件的全數(shù)控函數(shù)信號(hào)發(fā)生器設(shè)計(jì)方案, 根據(jù)輸出信號(hào)波形類型可設(shè)置、輸出信號(hào)幅度和頻率可數(shù)控、輸出頻率寬等要求,選用了美國(guó)A/D公

13、司的AD9850 芯片,并通過單片機(jī)程序控制和處理AD9850的32位頻率控制字, 再經(jīng)放大后加至以數(shù)字電位器為核心的數(shù)字衰減網(wǎng)絡(luò), 從而實(shí)現(xiàn)了信號(hào)幅度、頻率、類型以及輸出等選項(xiàng)的全數(shù)字控制。本系統(tǒng)主要由單片機(jī)、DDS直接頻率信號(hào)合成器、數(shù)字衰減電路、真有效值轉(zhuǎn)換模塊、A/D轉(zhuǎn)換模塊、數(shù)字積分選擇電路等部分組成。單片機(jī)AT89S52是整個(gè)系統(tǒng)關(guān)鍵部分,通過對(duì)鍵盤進(jìn)行掃描讀入相位信息,經(jīng)轉(zhuǎn)換后輸出到芯片AD9850,輸出波形。鍵盤輸入的數(shù)字信息經(jīng)AT89S52控制的LCD1602顯示。32總體設(shè)計(jì)框圖MCUAD9850LFP正弦信號(hào)輸 出鍵盤液晶系統(tǒng)構(gòu)成如下圖3-1所示。圖3-1 系統(tǒng)框圖4系統(tǒng)

14、硬件模塊的組成4.1 鍵盤控制模塊由于本設(shè)計(jì)中需要對(duì)輸出波形的各項(xiàng)參數(shù)進(jìn)行設(shè)置,所需要的按鍵較多,為了節(jié)省單片機(jī)的I/O口資源我們采用2*3的矩陣式鍵盤,如圖4-1所示,通過2*3矩陣式鍵盤對(duì)波形的頻率進(jìn)行設(shè)置,操作簡(jiǎn)單方便,充分利用了單片機(jī)資源。圖4-1 鍵盤控制電路4.2單片機(jī)控制模塊主控電路中,以單片機(jī)為主體,通過分析鍵盤輸入的數(shù)字值,對(duì)AD9850寫入相應(yīng)的控制字。它是系統(tǒng)的大腦。單片機(jī)(MICROCONTROLLER,又稱微控制器)是在一塊硅片上集成了各種部件的微型機(jī)算計(jì),這些部件包括中央處理器CPU、數(shù)據(jù)存貯器RAM、程序存貯器ROM、定時(shí)器/計(jì)數(shù)器和多種I/O接口電路。4.2.1

15、 AT89S52功能特性描述AT89S52是一種低功耗、高性能CMOS8位微控制器,具有8K 在系統(tǒng)可編程Flash 存儲(chǔ)器。使用ATMEL公司高密度非易失性存儲(chǔ)器技術(shù)制造,與工業(yè)80C51 產(chǎn)品指令和引腳完全兼容。片上Flash允許程序存儲(chǔ)器在系統(tǒng)可編程,亦適于常規(guī)編程器。在單芯片上,擁有靈巧的8 位CPU 和在系統(tǒng)可編程Flash,使得AT89S52為眾多嵌入式控制應(yīng)用系統(tǒng)提供高靈活、有效的解決方案。AT89S52具有以下標(biāo)準(zhǔn)功能:8k字節(jié)Flash、256字節(jié)RAM、32 位I/O 口線、看門狗定時(shí)器、2個(gè)數(shù)據(jù)指針、三個(gè)16 位定時(shí)器/計(jì)數(shù)器、一個(gè)6向量2級(jí)中斷結(jié)構(gòu)、全雙工串行口、片內(nèi)晶

16、振及時(shí)鐘電路。另外,AT89S52 可降至0Hz 靜態(tài)邏輯操作,支持2種軟件可選擇節(jié)電模式??臻e模式下,CPU停止工作,允許RAM、定時(shí)器/計(jì)數(shù)器、串口、中斷繼續(xù)工作。掉電保護(hù)方式下,RAM內(nèi)容被保存,振蕩器被凍結(jié),單片機(jī)一切工作停止,直到下一個(gè)中斷或硬件復(fù)位為止。AT89S52的引腳結(jié)構(gòu)如圖:圖4-2 單片機(jī)AT89S52引腳結(jié)構(gòu)圖P0口:P0口是一個(gè)8位漏極開路的雙向I/O口。作為輸出口,每位能驅(qū)動(dòng)8個(gè)TTL邏輯電平。對(duì)P0端口寫“1”時(shí),引腳用作高阻抗輸入。當(dāng)訪問外部程序和數(shù)據(jù)存儲(chǔ)器時(shí),P0口也被作為低8位地址/數(shù)據(jù)復(fù)用。在這種模式下,P0具有內(nèi)部上拉電阻。在flash編程時(shí),P0口也用

17、來接收指令字節(jié);在程序校驗(yàn)時(shí),輸出指令字節(jié)。程序校驗(yàn)時(shí),需要外部上拉電阻。P1口:P1 口是一個(gè)具有內(nèi)部上拉電阻的8 位雙向I/O 口,P1 輸出緩沖器能驅(qū)動(dòng)4個(gè)TTL邏輯電平。對(duì)P1 端口寫“1”時(shí),內(nèi)部上拉電阻把端口拉高,此時(shí)可以作為輸入口使用。作為輸入使用時(shí),被外部拉低的引腳由于內(nèi)部電阻的原因,將輸出電流。此外,P1.0和P1.2分別作定時(shí)器/計(jì)數(shù)器2的外部計(jì)數(shù)輸入(P1.0/T2)和時(shí)器/計(jì)數(shù)器2的觸發(fā)輸入(P1.1/T2EX),在flash編程和校驗(yàn)時(shí),P1口接收低8位地址字節(jié)。P2 口:P2 口是一個(gè)具有內(nèi)部上拉電阻的8 位雙向I/O 口,P2 輸出緩沖器。能驅(qū)動(dòng)4個(gè)TTL 邏輯電

18、平。對(duì)P2 端口寫“1”時(shí),內(nèi)部上拉電阻把端口拉高,此時(shí)可以作為輸入口使用。作為輸入使用時(shí),被外部拉低的引腳由于內(nèi)部電阻的原因,將輸出電流。在訪問外部程序存儲(chǔ)器或用16位地址讀取外部數(shù)據(jù)存儲(chǔ)器(例如執(zhí)行MOVX DPTR)時(shí),P2 口送出高八位地址。在這種應(yīng)用中,P2口使用很強(qiáng)的內(nèi)部上拉發(fā)送1。在使用8位地址(如MOVX RI)訪問外部數(shù)據(jù)存儲(chǔ)器時(shí),P2口輸出P2鎖存器的內(nèi)容。在flash編程和校驗(yàn)時(shí),P2口也接收高8位地址字節(jié)和一些控制信號(hào)。隨著計(jì)算機(jī)技術(shù)的高速發(fā)展,單片機(jī)以其自身的特點(diǎn),已廣泛應(yīng)用于智能儀器、工業(yè)控制、家用電器、電子玩具等各個(gè)領(lǐng)域。4.2.2 時(shí)鐘電路圖4-3 時(shí)鐘電路XT

19、AL1是片內(nèi)振蕩器的反相放大器輸入端,XTAL2則是輸出端,使用外部振蕩器時(shí),外部振蕩信號(hào)應(yīng)直接加到XTAL1,而XTAL2懸空。內(nèi)部方式時(shí),時(shí)鐘發(fā)生器對(duì)振蕩脈沖二分頻,如晶振為12MHz,時(shí)鐘頻率就為6MHz。晶振的頻率可以在1MHz-24MHz內(nèi)選擇。電容取30PF左右。AT89C51中有一個(gè)用于構(gòu)成內(nèi)部振蕩器的高增益反相放大器,引腳XTAL1和XTAL2分別是該放大器的輸入端和輸出端。這個(gè)放大器與作為反饋元件的片外石英晶體或者陶瓷諧振器一起構(gòu)成自激振蕩器。片外石英晶體或者陶瓷諧振器及電容C1、C2接在放大器的反饋回路中構(gòu)成并聯(lián)振蕩電路。對(duì)外接電容C1、C2雖然沒有十分嚴(yán)格的要求,但電容容

20、量的大小會(huì)輕微影響振蕩頻率的高低、振蕩器工作的穩(wěn)定性、起振的難易程序及溫度穩(wěn)定性,這里采用電容30pF,晶振采用11.0592MHz。4.2.3復(fù)位電路AT89C51的外部復(fù)位電路有上電自動(dòng)復(fù)位和手動(dòng)按鍵復(fù)位。上電復(fù)位電容充電來實(shí)現(xiàn)。手動(dòng)按鍵復(fù)位又分為按鍵電平復(fù)位和按鍵脈沖復(fù)位。按鍵電平復(fù)位電路是在普通RC復(fù)位電路的基礎(chǔ)上接一個(gè)有下拉電阻10K、上拉電容10f接VCC,電源由開關(guān)經(jīng)串接的1K限流電阻至復(fù)位腳(和上拉電容并聯(lián)),上拉電容支路負(fù)責(zé)在“上電”瞬間實(shí)施復(fù)位;開關(guān)通過1K上拉電阻和10K下拉電阻分壓器,保證對(duì)單片機(jī)實(shí)施按鍵電平復(fù)位。電路圖如圖4-4所示。圖4-4復(fù)位電路4.3 LCD顯示

21、模塊4.3.1 LCD1602的主要性能1602型LCD可以顯示2行16個(gè)字符,有8位數(shù)據(jù)總線D0D7和RS,R/W,EN三個(gè)控制端口,工作電壓為5V,并且具有字符對(duì)比度調(diào)節(jié)和背光功能。1602型LCD的接口信號(hào)說明,如表4-1所示:表4-1 LCD1602接口說明編號(hào)符號(hào)引腳說明編號(hào)符號(hào)引腳說明1VSS電源地9D2Data I/O2VDD電源正極10D3Data I/O3VL液晶顯示偏壓信號(hào)11D4Data I/O4RS數(shù)據(jù)/命令選擇端(H/L)12D5Data I/O5R/W讀寫選擇端(H/L)13D6Data I/O6E使能信號(hào)14D7Data I/O7D0Data I/O15BLA背光

22、源正極8D1Data I/O16BLK背光源負(fù)極基本操作程序讀狀態(tài):輸入:RS=L,RW=H,E=H輸出:D0D7=狀態(tài)字讀數(shù)據(jù):輸入:RS=H,RW=H,E=H輸出:無寫指令:輸入:RS=L,RW=L,D0D7=指令碼,E=高脈沖 輸出:D0D7=數(shù)據(jù)寫數(shù)據(jù):輸入:RS=H,RW=L,D0D7=數(shù)據(jù),E=高脈沖 輸出:無4.3.2 LCD1602與單機(jī)的連接由于本設(shè)計(jì)顯示的數(shù)字位數(shù)較多,我們采用LCD1602作為顯示裝置,既能達(dá)到顯示位數(shù)的目的,有能達(dá)到節(jié)省單片機(jī)資源的效果,如圖4-5所示,LCD1602與單片機(jī)的連接,1602的DB0DB7與89S52的P2口相接,RS與P13相接,R/W

23、與P12相接,E與P11相接。VL與地之間接一個(gè)10K的滑動(dòng)變阻器起到LCD1602初始顯示的調(diào)節(jié)。圖4-5 LCD與單片機(jī)的接口電路4.4 AD9850 與單片機(jī)連接模塊4.4.1 AD9850簡(jiǎn)介美國(guó)AD公司推出的高集成度頻率合成器AD9850便是采用DDS技術(shù)的典型產(chǎn)品之一。AD9850采用先進(jìn)的CMOS工藝,其功耗在3.3V供電時(shí)僅為155mW,擴(kuò)展工業(yè)級(jí)溫度范圍為4080,采用28腳SSOP表面封裝形式。AD9850的引腳排列如圖4-6所示,圖4-7為其組成框圖。中層虛線內(nèi)是一個(gè)完整的可編程DDS系統(tǒng),外層虛線內(nèi)包含了AD9850的主要組成部分。AD9850內(nèi)含可編程DDS系統(tǒng)和高速

24、比較器,能實(shí)現(xiàn)全數(shù)字編程控制的頻率合成??删幊藾DS系統(tǒng)的核心是相位累加器,它由一個(gè)加法器和一個(gè)位相位寄存器組成, 一般為2432。每來一個(gè)外部參考時(shí)鐘,相位寄存器便以步長(zhǎng)遞加。相位寄存器的輸出與相位控制字相加后可輸入到正弦查詢表地址上。正弦查詢表包含一個(gè)正弦波周期的數(shù)字幅度信息,每一個(gè)地址對(duì)應(yīng)正弦波中0o-360°范圍的一個(gè)相位點(diǎn)。查詢表把輸入地址的相位信息映射成正弦圖4-6 AD9850管腳排列圖圖4-7 AD9850組成框圖波幅度信號(hào),然后驅(qū)動(dòng)DAC以輸出模擬量。相位寄存器每過個(gè)外部參考時(shí)鐘后返回到初始狀態(tài)一次,相應(yīng)地正弦查詢表每經(jīng)過一個(gè)循環(huán)也回到初始位置,從而使整個(gè)DDS系統(tǒng)

25、輸出一個(gè)正弦波。輸出的正弦波周期,頻率,、分別為外部參考時(shí)鐘的周期和頻率。AD9850采用32位的相位累加器將信號(hào)截?cái)喑?4位輸入到正弦查詢表,查詢表的輸出再被截?cái)喑?0位后輸入到DAC, DAC再輸出兩個(gè)互補(bǔ)的電流。DAC滿量程輸出電流通過一個(gè)外接電阻RSET調(diào)節(jié),調(diào)節(jié)關(guān)系為: Rset的典型值是3.9k。將DAC的輸出經(jīng)低通濾波后接到AD9850內(nèi)部的高速比較器上即可直接輸出一個(gè)抖動(dòng)很小的方波。AD9850在接上精密時(shí)鐘源和寫入頻率相位控制字之后就可產(chǎn)生一個(gè)頻率和相位都可編程控制的模擬正弦波輸出,此正弦波可直接用作頻率信號(hào)源或經(jīng)內(nèi)部的高速比較器轉(zhuǎn)換為方波輸出。在125MHz的時(shí)鐘下, 32

26、位的頻率控制字可使AD9850的輸出頻率分辨率達(dá)0.0291Hz;并具有5位相位控制位,而且允許相位按增量180°、90°、45°、22.5°、11.25°或這些值的組合進(jìn)行調(diào)整。4.4.2 AD9850的控制字與控制時(shí)序AD9850有40位控制字, 32位用于頻率控制,5位用于相位控制, 1位用于電源休眠(Powerdown)控制, 2位用于選擇工作方式。這40位控制字可通過并行方式或串行方式輸入到AD9850,圖4-8是控制字并行輸入的控制時(shí)序圖,在并行裝入方式中,通過8位總線D0D7將可數(shù)據(jù)輸入到寄存器,在重復(fù)5次之后再在FQ-UD上升沿

27、把40位數(shù)據(jù)從輸入寄存器裝入到頻率/相位數(shù)據(jù)寄存器(更新DDS輸出頻率和相位),同時(shí)把地址指針復(fù)位到第一個(gè)輸入寄存器。接著在W-CLK的上升沿裝入8位數(shù)據(jù),并把指針指向下一個(gè)輸入寄存器,連續(xù)5個(gè)W-CLK上升沿后, W-CLK的邊沿就不再起作用,直到復(fù)位信號(hào)或FQ-UD上升沿把地址指針復(fù)位到第一個(gè)寄存器。圖4-8 控制字并行輸入的時(shí)序圖圖4-9 控制字串行輸入的時(shí)序圖在串行輸入方式,W-CLK上升沿把25引腳的一位數(shù)據(jù)串行移入,當(dāng)移動(dòng)40位后,用一個(gè)FQ_UD脈沖即可更新輸出頻率和相位。圖4-9是相應(yīng)的控制字串行輸入的控制時(shí)序圖。AD9850的復(fù)位(RESET)信號(hào)為高電平有效,且脈沖寬度不小

28、于5個(gè)參考時(shí)鐘周期。AD9850的參考時(shí)鐘頻率一般遠(yuǎn)高于單片機(jī)的時(shí)鐘頻率,因此AD9850的復(fù)位(RESET)端可與單片機(jī)的復(fù)位端直接相連。表4-2AD9850串行裝載的數(shù)據(jù)結(jié)構(gòu)位代號(hào)功能位代號(hào)功能位代號(hào)功能位代號(hào)功能W0Freq-b0(LSB)W10Freq-b10W20Freq-b20W30Freq-b30W1Freq-b1W11Freq-b11W21Freq-b21W31Freq-b31(MSB)W2Freq-b2W12Freq-b12W22Freq-b22W32ControlW3Freq-b3W13Freq-b13W23Freq-b23W33ControlW4Freq-b4W14Fre

29、q-b14W24Freq-b24W34Power-DownW5Freq-b5W15Freq-b15W25Freq-b25W35Phase-b0(LSB)W6Freq-b6W16Freq-b16W26Freq-b26W36Phase-b 1W7Freq-b7W17Freq-b17W27Freq-b27W37Phase-b 2W8Freq-b8W18Freq-b18W28Freq-b28W38Phase-b 3W9Freq-b9W19Freq-b19W29Freq-b29W39Phase-b4(MSB)在表4-2中,位W0W31的32位是頻率控制字,改變它的內(nèi)容可以改變AD9850的輸出頻率。位W

30、32和W33用于工廠測(cè)試,應(yīng)向這兩位賦0。位W34用來控制AD9850的上電和掉電,當(dāng)不需要輸出信號(hào)時(shí),通過打這一位置1來實(shí)現(xiàn)掉電。位W35W39的5位是相位控制字,改變它的內(nèi)容可以改變AD9850的輸出相位。串行裝載時(shí),AD9850的D7引腳和W_CLK引腳組成同步串行接口,這個(gè)接口可以直接與89S52相接連。40位控制/數(shù)據(jù)字通過AD9850的D7引腳在W_CLK引腳的脈沖信號(hào)上升邊沿作用下分40次裝入。W0在前,W39在后,依次裝入。完成40位控制/數(shù)據(jù)字的裝載后,F(xiàn)Q_UD引腳的脈沖信號(hào)上升沿刷新AD9850的工作狀態(tài),同時(shí)復(fù)位寄存器指針,準(zhǔn)備下一次位控制/數(shù)據(jù)字的裝入。4.4.3單片

31、機(jī)與AD9850的接口單片機(jī)與AD9850的接口既可采用并行方式,也可采用串行方式,但為了充分發(fā)揮芯片的高速性能,應(yīng)在單片機(jī)資源允許的情況下盡可能選擇并行方式,本文重點(diǎn)介紹其并行方式的接口。并行接口接口電路比較簡(jiǎn)單,但占用單片機(jī)資源相對(duì)較多,圖4-10是I/O方式并行接口的電路圖,AD9850的數(shù)據(jù)線D0D7與P1口相連, FQ_UD和W_CLK分別與P2.3(10引腳)和P2.4(11引腳)相連,所有的時(shí)序關(guān)系均可通過軟件控制實(shí)現(xiàn)。圖4-10 AD9850與單片機(jī)連接4.5 濾波電路設(shè)計(jì)為了使輸出的頻率不受外界和一些雜波的干擾,需用一個(gè)低通濾波器(LPF)濾除高次諧波。常用的濾波器的頻率響應(yīng)

32、有三種:巴特沃斯型(Butterworth),切比雪夫型 (Chebyshev)和橢圓型 (Cauer)。其中巴特沃斯濾波器通帶最平坦,它的通帶內(nèi)沒有紋波,在靠近零頻處,有最平坦通帶,趨向阻帶時(shí)衰減單調(diào)增大,缺點(diǎn)是從通帶到阻帶的過渡帶最寬,對(duì)于帶外干擾信號(hào)的衰減作用最弱,過渡帶不夠陡峭,因此它適用于對(duì)通帶要求較高,而去除的頻率離通帶較遠(yuǎn)的情況;切比雪夫?yàn)V波器在通帶內(nèi)衰減在零值和一個(gè)上限值之間做等起伏變化,阻帶內(nèi)衰減單調(diào)增大,帶內(nèi)有起伏,但過渡帶比較陡峭;橢圓濾波器不僅通帶內(nèi)有起伏,阻帶內(nèi)也有起伏,而且過渡帶陡峭。比較起來,橢圓濾波器性能更好,本設(shè)計(jì)中采用的是橢圓濾波器。具體電路圖如圖4-11所

33、示。圖4-11 濾波電路4.6 DDS硬件抗干擾處理DDS的時(shí)鐘頻率很高,對(duì)周圍電路有一定影響,在電路中采取了一些抗干擾措施,如:引線盡量短,減少交叉,每個(gè)芯片的電源與地之間都解憂去耦電容,數(shù)字地與模地分開。5 軟件設(shè)計(jì)與調(diào)試51 程序設(shè)計(jì)流程圖 通過程序預(yù)置頻率,并實(shí)現(xiàn)對(duì)頻率步進(jìn)的控制,處理用戶由鍵盤鍵入的頻率值,判斷是否超出范圍,生成頻率控制字,經(jīng)并行方式送入DDS,合成用戶所需的頻率,并通過程序?qū)崿F(xiàn)頻率的顯示。程序流程圖如圖5-1所示。開始按鍵處理是否有鍵按下AD9850數(shù)據(jù)更新更新頻率控制字鍵盤掃描LCD顯示初始化進(jìn)入主程序YN圖5-1 程序流程圖5.2軟件設(shè)計(jì)與調(diào)試本系統(tǒng)的軟件調(diào)試可

34、以在Keil uvision2的環(huán)境中完成,Keil系統(tǒng)為軟件的開發(fā)和調(diào)試提供了良好的用戶界面和強(qiáng)大的功能。程序調(diào)試無誤后,可以裝入單片機(jī)中進(jìn)行測(cè)試,用單片機(jī)系統(tǒng)與DDS系統(tǒng)相結(jié)合,并用示波器觀察測(cè)試的效果。5.2.1部分源程序#include <reg52.h> #define ddsdata P2sbit reset=P27;sbit wclk=P26;sbit fqud=P25;sbit strobe0=P10;sbit strobe1=P11;void delay(unsigned char i)while(i-);void initialad9850(void)/寫相位、

35、頻率控制字前初始化 strobe0=0;strobe1=0;ddsdata=0x00;strobe1=1;reset=1;reset=0;strobe1=0;void writebyte(unsigned char frepha) /8位并行方式寫相位、頻率控制字ddsdata=frepha;strobe0=1;strobe0=0;/wclk = 0;ddsdata=0x00; /須保證reset、fqud也為低,否則時(shí)序有誤strobe1=1;wclk = 1;wclk = 0;strobe1=0;void updataad9850(void)/以40位控制字進(jìn)行相位、頻率更新ddsdata

36、=0x00;/須保證reset、wclk也為低,否則時(shí)序有誤strobe1=1;fqud=1;fqud=0;strobe1=0;void freqcom(unsigned long data frequency)unsigned long data freq32;char data j;unsigned char data freq4;freq32=frequency*34.359738368; /232/125000000freq3=freq32/16777216;freq2=(freq32/65536)%256;freq1=(freq32/256)%256;freq0=freq32%256

37、;writebyte(0x00); / 必須保證此字節(jié)最低兩位為00,廠家保留測(cè)試位,寫錯(cuò)后果嚴(yán)重for(j=3;j>=0;j-)writebyte(freqj); updataad9850();void main()initialad9850();freqcom(3000);/while(1);結(jié) 論畢業(yè)設(shè)計(jì)完成的主要工作是完成單片機(jī)控制AD9850產(chǎn)生正弦信號(hào),并能顯示出相對(duì)應(yīng)的頻率,且使頻率在020MHz的范圍內(nèi)能以1Hz為步長(zhǎng)進(jìn)行調(diào)整。 通過搜集目前DDS技術(shù)的相關(guān)資料,了解國(guó)內(nèi)外DDS信號(hào)發(fā)生器的相關(guān)制作方法,并通過設(shè)計(jì)方案的比較,針對(duì)設(shè)計(jì)任務(wù)提出了可行方案。在設(shè)計(jì)方案中,結(jié)合

38、單片機(jī)的功能特點(diǎn)及其控制特性,利用簡(jiǎn)便的單片機(jī)C-51語言和其內(nèi)部時(shí)鐘,以單片機(jī)作為控制的核心。根據(jù)設(shè)計(jì)方案,詳細(xì)地闡述了單片機(jī)的控制原理、AD9850的使用方法、制作了電路原理樣機(jī)并進(jìn)行調(diào)試。結(jié)果表明,所設(shè)計(jì)的電路和軟件能完成基本的測(cè)試功能。 總之,DDS芯片因其轉(zhuǎn)換速度快、性能價(jià)格比高、體積小、輸出的波形穩(wěn)定度高、精度高、分辨率高,而且輸出波形的頻率、相位可控,因而在各類電子設(shè)備,特別是通信、雷達(dá)等領(lǐng)域中的應(yīng)用將越來越廣泛。 致 謝 經(jīng)過一個(gè)月的忙碌和工作,本次畢業(yè)設(shè)計(jì)已經(jīng)接近尾聲。留給了我很深的思考,只有通過學(xué)習(xí)才能獲得知識(shí),開始時(shí)并不是什么都會(huì),但是只要努力了就一定會(huì)有收獲 雖然中間的

39、過程很辛苦,但是只要有結(jié)果,就可以忘記艱辛的過程。此外通過這次設(shè)計(jì),我對(duì)電子設(shè)計(jì)的認(rèn)識(shí)有了很大的提高,同時(shí)認(rèn)識(shí)到自己在硬件設(shè)計(jì)方面還有很大的欠缺。主要表現(xiàn)在對(duì)很多原理知識(shí)掌握的不是很清楚,對(duì)硬件設(shè)計(jì)的正確方法掌握不多,造成了很多的重復(fù)性工作。,由于經(jīng)驗(yàn)缺乏,難免有許多考慮不周全的地方,如果沒有指導(dǎo)老師的督促和指導(dǎo),以及于天柱同學(xué)的支持和幫助下,要想完成論文是很難的。論文題目的擬定到實(shí)施是根據(jù)之前在電子比賽實(shí)訓(xùn)中所學(xué)到的知識(shí)的應(yīng)用。因此,在這里要感謝我的指導(dǎo)老師們。感謝他們一直很悉心的指導(dǎo)我們的工作,無論是什么時(shí)間段去找他們答疑提問,包括是下班的休息時(shí)間,他都是有問必答,同時(shí)還為我們搜索了許多相

40、關(guān)知識(shí)的資料,給我解決了很多困難,老師兢兢業(yè)業(yè)的工作精神、嚴(yán)謹(jǐn)?shù)闹螌W(xué)態(tài)度、踏實(shí)真誠(chéng)的處事態(tài)度,對(duì)事負(fù)責(zé),對(duì)學(xué)生負(fù)責(zé),使我深受感動(dòng),這是我在現(xiàn)在和今后的學(xué)習(xí)、工作生活中要努力學(xué)習(xí)的。在此,我向老師致以最衷的感謝!最后,衷心的感謝各位答辯組的老師!感謝您們能在百忙之中參與我的論文答辯工作。謝謝!參 考 文 獻(xiàn)1 童詩白,華成英 模擬電子技術(shù)基礎(chǔ) 高等教育出版社 20032 陳明熒 單片機(jī)設(shè)計(jì)實(shí)訓(xùn)教材 清華大學(xué)出版社 20043 閻石 數(shù)字電子技術(shù)基礎(chǔ) 高教出版社 20044 全國(guó)大學(xué)生電子設(shè)計(jì)競(jìng)賽組委員,獲作品匯編,北京;北京理工大學(xué)出版社20045 瞿安連 應(yīng)用電子技術(shù),北京;科學(xué)出版社20036 丁元杰 單片機(jī)原理及應(yīng)用 機(jī)械工藝出版社19997 全國(guó)大學(xué)生電子設(shè)計(jì)競(jìng)賽組委會(huì)編,全國(guó)大學(xué)生電子設(shè)計(jì)競(jìng)賽獲獎(jiǎng)作品精選(1994-1999)北京;北京理工大學(xué)出版社2003.38 何希才,姜余祥 新型穩(wěn)壓電源及其應(yīng)用,北京;國(guó)防工業(yè)出版社20019 楊振江 A/D,D/A轉(zhuǎn)換器接口技術(shù),西安;西安電子科技大學(xué)出版社199610趙景波 向華 Protel99SE應(yīng)用與實(shí)例教程。人民郵電出版社出版發(fā)行 200911吳鎮(zhèn)揚(yáng).數(shù)字信號(hào)處理M.北京:高等教育出版社,2004.9.12 石熊.DDS芯片AD9850的工作原理及其與單片機(jī)的接口.國(guó)外電子元器件,2001.5 附錄:硬件原理圖

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!