計算機(jī)組成原理第1章課件(白中英編科學(xué)出版社)

上傳人:仙*** 文檔編號:34740191 上傳時間:2021-10-23 格式:PPT 頁數(shù):30 大小:502.52KB
收藏 版權(quán)申訴 舉報 下載
計算機(jī)組成原理第1章課件(白中英編科學(xué)出版社)_第1頁
第1頁 / 共30頁
計算機(jī)組成原理第1章課件(白中英編科學(xué)出版社)_第2頁
第2頁 / 共30頁
計算機(jī)組成原理第1章課件(白中英編科學(xué)出版社)_第3頁
第3頁 / 共30頁

下載文檔到電腦,查找使用更方便

10 積分

下載資源

還剩頁未讀,繼續(xù)閱讀

資源描述:

《計算機(jī)組成原理第1章課件(白中英編科學(xué)出版社)》由會員分享,可在線閱讀,更多相關(guān)《計算機(jī)組成原理第1章課件(白中英編科學(xué)出版社)(30頁珍藏版)》請在裝配圖網(wǎng)上搜索。

1、計算機(jī)組成原理任課教師:趙靜第一章第一章 計算機(jī)系統(tǒng)概論計算機(jī)系統(tǒng)概論第二章第二章 運(yùn)算方法和運(yùn)算器運(yùn)算方法和運(yùn)算器第三章第三章 存儲系統(tǒng)存儲系統(tǒng)第四章第四章 指令系統(tǒng)指令系統(tǒng) 第五章第五章 中央處理器中央處理器 第六章第六章 總線系統(tǒng)總線系統(tǒng) 第七章第七章 外圍設(shè)備外圍設(shè)備 第八章第八章 輸入輸出系統(tǒng)輸入輸出系統(tǒng)目錄運(yùn)運(yùn) 算算 器器控控 制制 器器主存儲器主存儲器輸入設(shè)備輸入設(shè)備輸出設(shè)備輸出設(shè)備總線總線 和和 輸入輸出接口輸入輸出接口高速緩存高速緩存虛擬存儲器虛擬存儲器(磁盤設(shè)備磁盤設(shè)備)(第二章第二章)(第五章第五章)(第三章第三章)(第六章第六章)( 第七、八章第七、八章)中央處理單元中

2、央處理單元CPU系統(tǒng)結(jié)構(gòu)基本概念第一章第一章 計算機(jī)系統(tǒng)概論計算機(jī)系統(tǒng)概論v計算機(jī)系統(tǒng)不同于一般的電子設(shè)備,它是一個由硬件、軟件組成的復(fù)雜的自動化設(shè)備。 1.1 1.1 計算機(jī)的分類和應(yīng)用計算機(jī)的分類和應(yīng)用 1.2 1.2 計算機(jī)的硬件計算機(jī)的硬件 1.3 1.3 計算機(jī)的軟件計算機(jī)的軟件 1.4 1.4 計算機(jī)系統(tǒng)的層次結(jié)構(gòu)計算機(jī)系統(tǒng)的層次結(jié)構(gòu)系統(tǒng)結(jié)構(gòu)基本概念1.1.1 計算機(jī)的分類計算機(jī)的分類 計算機(jī)從總體上來說分為兩大類: 模擬計算機(jī)和數(shù)字計算機(jī)。各自主要特點(diǎn)各自主要特點(diǎn):模擬計算機(jī)由模擬運(yùn)算器件構(gòu)成,其變量由連續(xù)量(如:模擬計算機(jī)由模擬運(yùn)算器件構(gòu)成,其變量由連續(xù)量(如:電壓、電流等)來

3、表示,運(yùn)算過程也是連續(xù)的電壓、電流等)來表示,運(yùn)算過程也是連續(xù)的數(shù)字計算機(jī)則是由邏輯電子器件構(gòu)成,其變量為開關(guān)量數(shù)字計算機(jī)則是由邏輯電子器件構(gòu)成,其變量為開關(guān)量(離散的數(shù)字量),采用數(shù)字式按位運(yùn)算,運(yùn)算模式是(離散的數(shù)字量),采用數(shù)字式按位運(yùn)算,運(yùn)算模式是離散式的離散式的1.1 1.1 計算機(jī)的分類和應(yīng)用計算機(jī)的分類和應(yīng)用系統(tǒng)結(jié)構(gòu)基本概念數(shù)字計算機(jī)與模擬計算計的主要區(qū)別比較內(nèi)容數(shù)字計算機(jī)模擬計算機(jī)數(shù)據(jù)表示方式數(shù)字0和1電壓、電流等計算方式數(shù)字計算電量組合和測量值控制方式程序控制模擬信號組合控制精度、抗干擾性高、好低、差數(shù)據(jù)存儲量大小、幾乎無邏輯判斷能力強(qiáng)無系統(tǒng)結(jié)構(gòu)基本概念數(shù)數(shù)字字計計算算機(jī)機(jī)專

4、用計算機(jī)專用計算機(jī)通用計算機(jī)通用計算機(jī)超級計算機(jī)超級計算機(jī)大型機(jī)大型機(jī)服務(wù)器服務(wù)器工作站工作站微型機(jī)微型機(jī)單片機(jī)單片機(jī)低低高高體積體積功耗功耗性能性能數(shù)據(jù)存儲量數(shù)據(jù)存儲量指令系統(tǒng)指令系統(tǒng)價格價格系統(tǒng)結(jié)構(gòu)基本概念 1.1.2 1.1.2 計算機(jī)的應(yīng)用計算機(jī)的應(yīng)用 計算機(jī)的應(yīng)用范圍幾乎涉及人類社會的所有領(lǐng)域。本書歸納成六個主要方面來敘述: 科學(xué)計算科學(xué)計算 自動控制自動控制 測量和測試測量和測試 信息處理信息處理 教育和衛(wèi)生教育和衛(wèi)生 家用電器家用電器 人工智能人工智能1.2 1.2 計算機(jī)的硬件計算機(jī)的硬件 vJ. Von Neumann(馮(馮.諾伊曼)諾伊曼)19131913,生于匈牙利,瑞

5、士化學(xué)學(xué)士,匈牙利數(shù)學(xué)博士,后移居美,生于匈牙利,瑞士化學(xué)學(xué)士,匈牙利數(shù)學(xué)博士,后移居美國;國;4040年代初,參與曼哈頓計劃;年代初,參與曼哈頓計劃;4444年參觀年參觀ENIACENIAC;4545年發(fā)表年發(fā)表“關(guān)于離散變量自動電子計算機(jī)的草案關(guān)于離散變量自動電子計算機(jī)的草案”v計算機(jī)的基本結(jié)構(gòu);計算機(jī)的基本結(jié)構(gòu);v采用二進(jìn)制;采用二進(jìn)制;v數(shù)據(jù)和程序都放在內(nèi)存中。數(shù)據(jù)和程序都放在內(nèi)存中。馮馮. .諾伊曼結(jié)構(gòu)諾伊曼結(jié)構(gòu)主主機(jī)機(jī)系統(tǒng)結(jié)構(gòu)基本概念1.2.1 1.2.1 計算機(jī)的硬件系統(tǒng)組成計算機(jī)的硬件系統(tǒng)組成v打算盤,求解打算盤,求解 y = ax + b c一個算盤一個算盤v計算,暫存結(jié)果計

6、算,暫存結(jié)果一張紙一張紙v記錄步驟、數(shù)據(jù)記錄步驟、數(shù)據(jù)一支筆一支筆v將步驟、數(shù)據(jù)抄至紙上;將步驟、數(shù)據(jù)抄至紙上;v算盤上數(shù)據(jù),抄至紙上。算盤上數(shù)據(jù),抄至紙上。人腦和手人腦和手v控制算盤、完成步驟控制算盤、完成步驟運(yùn)算器運(yùn)算器存儲器存儲器IO設(shè)備設(shè)備控制器控制器行號行號解題步驟與數(shù)據(jù)解題步驟與數(shù)據(jù)1取數(shù)取數(shù) (9)算盤算盤2乘法乘法 (12)算盤算盤3加法加法 (10)算盤算盤4減法減法 (11)算盤算盤5存數(shù)存數(shù) y 136輸出輸出7停止停止89a10b11c12x13y系統(tǒng)結(jié)構(gòu)基本概念1. 運(yùn)算器運(yùn)算器v算術(shù)運(yùn)算、邏輯運(yùn)算算術(shù)運(yùn)算、邏輯運(yùn)算v采用二進(jìn)制數(shù)(容易實(shí)現(xiàn))采用二進(jìn)制數(shù)(容易實(shí)現(xiàn))v

7、算術(shù)算術(shù)邏輯單元(邏輯單元(ALU) + 專用或通用寄存器專用或通用寄存器v計算機(jī)的運(yùn)算器長度:計算機(jī)的運(yùn)算器長度:8 8位、位、1616位、位、3232位或位或6464位位系統(tǒng)結(jié)構(gòu)基本概念2. 存儲器存儲器v功能:保存或功能:保存或“記憶記憶”數(shù)據(jù)和運(yùn)算過程數(shù)據(jù)和運(yùn)算過程v存儲的是存儲的是0或或1表示的二進(jìn)制代碼表示的二進(jìn)制代碼v存儲單元存儲單元: 在存儲器中保存一個在存儲器中保存一個n n位二進(jìn)制數(shù)的位二進(jìn)制數(shù)的n n個觸個觸發(fā)器發(fā)器,組成一個存儲單元組成一個存儲單元v存儲器存儲器地址地址:存儲器是由許多存儲單元組成存儲器是由許多存儲單元組成,每個存儲每個存儲單元的編號單元的編號,稱為地址

8、稱為地址v存儲容量存儲容量:存儲器所有存儲單元的總數(shù)。通常用單位存儲器所有存儲單元的總數(shù)。通常用單位“KB“KB、MBMB、GB”GB”等表示等表示v內(nèi)存儲器(主存)內(nèi)存儲器(主存)和和外存儲器外存儲器(輔存輔存)0地址地址 存儲單元存儲單元1101010100010111000011010011000100101111000000100000000000000000000000000000000011010101000101111234.M-1系統(tǒng)結(jié)構(gòu)基本概念v(1) (1) 程序程序每一個基本操作就叫做一條每一個基本操作就叫做一條指令指令, ,而解算某一問題的一而解算某一問題的一串指令序列

9、串指令序列, ,叫做該問題的叫做該問題的計算程序計算程序, ,簡稱為簡稱為程序程序。v(2) (2) 指令的形式指令的形式 表示參加運(yùn)算的數(shù)據(jù)表示參加運(yùn)算的數(shù)據(jù)從存儲器的哪個單元從存儲器的哪個單元??;運(yùn)算的結(jié)果應(yīng)存?。贿\(yùn)算的結(jié)果應(yīng)存到哪個單元到哪個單元操作碼操作碼地址碼地址碼指出指令所進(jìn)行的指出指令所進(jìn)行的操作,如加、減、操作,如加、減、乘、除、取數(shù)、存乘、除、取數(shù)、存數(shù)等數(shù)等 3. 控制器控制器v控制計算機(jī)的各個部件有條不紊地進(jìn)行工作控制計算機(jī)的各個部件有條不紊地進(jìn)行工作系統(tǒng)結(jié)構(gòu)基本概念3. 控制器控制器v“存儲程序存儲程序”:是把是把指令序列指令序列事先輸入到存儲器中事先輸入到存儲器中 v

10、“程序控制程序控制”:當(dāng)計算機(jī)啟動后,程序就會控制計算機(jī)按當(dāng)計算機(jī)啟動后,程序就會控制計算機(jī)按規(guī)定的順序逐條執(zhí)行指令,自動完成預(yù)定的信息處理任務(wù)規(guī)定的順序逐條執(zhí)行指令,自動完成預(yù)定的信息處理任務(wù)v馮馮諾依曼型計算機(jī)的設(shè)計思想:諾依曼型計算機(jī)的設(shè)計思想:存儲程序并按地址順序執(zhí)行存儲程序并按地址順序執(zhí)行v指令系統(tǒng):指令系統(tǒng):一臺計算機(jī)中所有機(jī)器指令的集合。一臺計算機(jī)中所有機(jī)器指令的集合。(3 3)控制器的基本任務(wù))控制器的基本任務(wù) 控制取指令和指令譯碼,這段時間稱為取指周期;控制取指令和指令譯碼,這段時間稱為取指周期; 控制指令執(zhí)行過程,這段時間稱為執(zhí)行周期;控制指令執(zhí)行過程,這段時間稱為執(zhí)行周期

11、; 取指周期和執(zhí)行周期交替發(fā)生;取指周期和執(zhí)行周期交替發(fā)生; 每取一條指令,指令計數(shù)器加每取一條指令,指令計數(shù)器加1。系統(tǒng)結(jié)構(gòu)基本概念(4 4)指令流和數(shù)據(jù)流)指令流和數(shù)據(jù)流 v計算機(jī)中的信息單位:位(計算機(jī)中的信息單位:位(bit)、字節(jié)()、字節(jié)(Byte)、字)、字(Word)。例:)。例:10010110v字長:組成一個字的二進(jìn)制位數(shù)。一個字長:組成一個字的二進(jìn)制位數(shù)。一個“字字”至少由一個至少由一個以上的字節(jié)組成。以上的字節(jié)組成。v計算機(jī)字既可以代表指令計算機(jī)字既可以代表指令, ,也可以代表數(shù)據(jù)。如果某字也可以代表數(shù)據(jù)。如果某字代表要處理的數(shù)據(jù)代表要處理的數(shù)據(jù), ,則稱為則稱為數(shù)據(jù)字

12、數(shù)據(jù)字;如果某字為一條指;如果某字為一條指令令, ,則稱為則稱為指令字指令字。v指令和數(shù)據(jù)統(tǒng)統(tǒng)放在內(nèi)存中指令和數(shù)據(jù)統(tǒng)統(tǒng)放在內(nèi)存中, ,從形式上看從形式上看, ,它們都是二它們都是二進(jìn)制數(shù)碼。一般來講,在進(jìn)制數(shù)碼。一般來講,在取指周期取指周期中從內(nèi)存讀出的信中從內(nèi)存讀出的信息是息是指令流指令流, ,它流向控制器;而它流向控制器;而執(zhí)行周期執(zhí)行周期中從內(nèi)存讀出中從內(nèi)存讀出的信息流是的信息流是數(shù)據(jù)流數(shù)據(jù)流, ,它由內(nèi)存流向運(yùn)算器。它由內(nèi)存流向運(yùn)算器。 3. 控制器控制器系統(tǒng)結(jié)構(gòu)基本概念操作操作編碼編碼加法加法001減法減法010乘法乘法011除法除法100取數(shù)取數(shù)101存數(shù)存數(shù)110停機(jī)停機(jī)000指

13、令和數(shù)據(jù)的存儲指令和數(shù)據(jù)的存儲地址 存儲單元0101 0111011 1010001 1000010 1001110 1011000 xxxx 12345 yxcba(二進(jìn)制) 96781011指令字?jǐn)?shù)據(jù)字指指令令區(qū)區(qū)數(shù)數(shù)據(jù)據(jù)區(qū)區(qū)操作的編碼操作的編碼系統(tǒng)結(jié)構(gòu)基本概念4. 適配器與輸入輸出設(shè)備適配器與輸入輸出設(shè)備v輸入設(shè)備輸入設(shè)備把人們所熟悉的某種形式的信息變換為機(jī)把人們所熟悉的某種形式的信息變換為機(jī)器內(nèi)部所能接收和識別的二進(jìn)制信息形式。器內(nèi)部所能接收和識別的二進(jìn)制信息形式。v輸出設(shè)備輸出設(shè)備把計算機(jī)處理的結(jié)果變換為人或其它機(jī)器把計算機(jī)處理的結(jié)果變換為人或其它機(jī)器所能接收和識別的信息形式。所能接

14、收和識別的信息形式。vI/OI/O設(shè)備設(shè)備通常統(tǒng)稱為通常統(tǒng)稱為外圍設(shè)備。外圍設(shè)備。v適配器適配器(I/O(I/O接口接口) )相當(dāng)與一個轉(zhuǎn)換器相當(dāng)與一個轉(zhuǎn)換器, ,它可以保證外它可以保證外圍設(shè)備用計算機(jī)所要求的形式發(fā)送或接受信息。圍設(shè)備用計算機(jī)所要求的形式發(fā)送或接受信息。v系統(tǒng)總線系統(tǒng)總線構(gòu)成計算機(jī)系統(tǒng)的信息鏈接構(gòu)成計算機(jī)系統(tǒng)的信息鏈接, ,是多個系統(tǒng)部是多個系統(tǒng)部件之間進(jìn)行數(shù)據(jù)傳送的公共通路。件之間進(jìn)行數(shù)據(jù)傳送的公共通路。系統(tǒng)結(jié)構(gòu)基本概念硬件系統(tǒng)五大組成部分框圖硬件系統(tǒng)五大組成部分框圖 常用的輸入設(shè)備有:鼠標(biāo)器、鍵盤、掃常用的輸入設(shè)備有:鼠標(biāo)器、鍵盤、掃描儀、數(shù)字化儀、數(shù)碼攝像機(jī)、條形碼描儀

15、、數(shù)字化儀、數(shù)碼攝像機(jī)、條形碼閱讀器、數(shù)碼相機(jī)、閱讀器、數(shù)碼相機(jī)、A/D轉(zhuǎn)換器等轉(zhuǎn)換器等計算機(jī)中用于存取程序和數(shù)據(jù)的計算機(jī)中用于存取程序和數(shù)據(jù)的部件。分為內(nèi)存和外存兩類部件。分為內(nèi)存和外存兩類顯示器、打印機(jī)、音箱、顯示器、打印機(jī)、音箱、繪圖儀等繪圖儀等指揮和控制計算機(jī)各個部件進(jìn)行工指揮和控制計算機(jī)各個部件進(jìn)行工作的作的“神經(jīng)中樞神經(jīng)中樞”負(fù)責(zé)對信息進(jìn)行加工和運(yùn)算,內(nèi)設(shè)若負(fù)責(zé)對信息進(jìn)行加工和運(yùn)算,內(nèi)設(shè)若干寄存器,用于暫存操作數(shù)和中間干寄存器,用于暫存操作數(shù)和中間結(jié)果。結(jié)果。系統(tǒng)結(jié)構(gòu)基本概念 1.2.2 計算機(jī)系統(tǒng)結(jié)構(gòu)的過去和未來v世界上第一臺電子數(shù)字計算機(jī)世界上第一臺電子數(shù)字計算機(jī)ENIAC 誕

16、生于誕生于1946年,是在美國賓夕法尼亞大學(xué)制成的。計年,是在美國賓夕法尼亞大學(xué)制成的。計算機(jī)的發(fā)展大致經(jīng)歷了五代的變化:算機(jī)的發(fā)展大致經(jīng)歷了五代的變化:1.1946年開始,第一代電子管計算機(jī)年開始,第一代電子管計算機(jī)2.1958年開始,第二代晶體管計算機(jī)年開始,第二代晶體管計算機(jī) 3.1965年開始,第三代中小規(guī)模集成電路計算機(jī)年開始,第三代中小規(guī)模集成電路計算機(jī) 4.1971年開始,第四代大規(guī)模集成電路計算機(jī)年開始,第四代大規(guī)模集成電路計算機(jī) 5.1986年開始,第五代巨大規(guī)模集成電路計算機(jī)年開始,第五代巨大規(guī)模集成電路計算機(jī) 系統(tǒng)結(jié)構(gòu)基本概念 第一臺電子計算機(jī)(第一臺電子計算機(jī)(ENIA

17、CENIAC)5000次加法次加法/秒秒體重體重28噸噸占地占地170M218,800只電子管只電子管1500個繼電器個繼電器耗電耗電150KW計算機(jī)發(fā)展史計算機(jī)發(fā)展史系統(tǒng)結(jié)構(gòu)基本概念年代年代主要器件主要器件重重 要要 特特 征征第第1代代1946-1958電子管電子管機(jī)器語言,匯編語言。速度低,體積大,機(jī)器語言,匯編語言。速度低,體積大,價格昂貴,可靠性差,用于科學(xué)計算。價格昂貴,可靠性差,用于科學(xué)計算。速速度達(dá)幾千次到幾萬次度達(dá)幾千次到幾萬次第第2代代1958-1964晶體管晶體管算法語言,操作系統(tǒng)。體積縮小,可靠性算法語言,操作系統(tǒng)。體積縮小,可靠性提高。從科學(xué)計算到數(shù)據(jù)處理。提高。從科

18、學(xué)計算到數(shù)據(jù)處理。每秒幾萬每秒幾萬次到幾十萬次次到幾十萬次第第3代代1964-1971中小規(guī)模集成中小規(guī)模集成電路電路體積小,可靠性大大提高,速度達(dá)幾百萬體積小,可靠性大大提高,速度達(dá)幾百萬次,軟件技術(shù)和外設(shè)發(fā)展迅速應(yīng)用領(lǐng)域不次,軟件技術(shù)和外設(shè)發(fā)展迅速應(yīng)用領(lǐng)域不斷擴(kuò)大。斷擴(kuò)大。第第4代代1971-1986大大/超大規(guī)模超大規(guī)模集成電路集成電路速度提高至幾百萬次至幾千萬次速度提高至幾百萬次至幾千萬次 出現(xiàn)微型計算機(jī)出現(xiàn)微型計算機(jī)第第5代代1986-巨大規(guī)模集成巨大規(guī)模集成電路電路速度提高至幾億次乃至上百億次。速度提高至幾億次乃至上百億次。出現(xiàn)單片機(jī)出現(xiàn)單片機(jī)計算機(jī)的發(fā)展史計算機(jī)的發(fā)展史系統(tǒng)結(jié)構(gòu)基

19、本概念v計算機(jī)是由運(yùn)算器、存儲器、控制器、適配器、總線計算機(jī)是由運(yùn)算器、存儲器、控制器、適配器、總線和輸入和輸入/輸出設(shè)備組成的。都是由元件構(gòu)成的有形物體,輸出設(shè)備組成的。都是由元件構(gòu)成的有形物體,因而稱為因而稱為硬件硬件或硬設(shè)備。或硬設(shè)備。硬件是計算機(jī)系統(tǒng)中實(shí)際物硬件是計算機(jī)系統(tǒng)中實(shí)際物理裝置的總稱。理裝置的總稱。v軟件軟件是指在硬件上運(yùn)行的程序和相關(guān)的數(shù)據(jù)及文檔。是指在硬件上運(yùn)行的程序和相關(guān)的數(shù)據(jù)及文檔。v硬件是軟件的工作基礎(chǔ),軟件是硬件功能的擴(kuò)充和完硬件是軟件的工作基礎(chǔ),軟件是硬件功能的擴(kuò)充和完善。兩者相互依存,相互促進(jìn)。軟件與硬件的結(jié)合,善。兩者相互依存,相互促進(jìn)。軟件與硬件的結(jié)合,構(gòu)

20、成完整的構(gòu)成完整的計算機(jī)系統(tǒng)。計算機(jī)系統(tǒng)。計算機(jī)系統(tǒng)計算機(jī)系統(tǒng)硬件硬件系統(tǒng)系統(tǒng)軟件軟件系統(tǒng)系統(tǒng)系統(tǒng)結(jié)構(gòu)基本概念1.3 1.3 計算機(jī)的軟件計算機(jī)的軟件 1.3.11.3.1軟件的組成和分類軟件的組成和分類 軟件分類軟件分類操作系統(tǒng)操作系統(tǒng) 語言處理程序語言處理程序服務(wù)性程序服務(wù)性程序應(yīng)用程序應(yīng)用程序辦公軟件包辦公軟件包數(shù)據(jù)庫管理系統(tǒng)數(shù)據(jù)庫管理系統(tǒng)瀏覽器瀏覽器實(shí)時控制軟件實(shí)時控制軟件診斷、排錯程序診斷、排錯程序反病毒程序反病毒程序備份程序備份程序文件壓縮程序文件壓縮程序卸載程序卸載程序圖形圖像處理軟件圖形圖像處理軟件其它應(yīng)用軟件其它應(yīng)用軟件系統(tǒng)程序系統(tǒng)程序系統(tǒng)結(jié)構(gòu)基本概念 下面以系統(tǒng)程序為例下面

21、以系統(tǒng)程序為例, ,簡要說明軟件的發(fā)展演變簡要說明軟件的發(fā)展演變過程:過程: 1. 目的程序目的程序2. 匯編程序匯編程序3. 源程序源程序4. 操作系統(tǒng)操作系統(tǒng)5. 數(shù)據(jù)庫管理系統(tǒng)數(shù)據(jù)庫管理系統(tǒng)1.3.21.3.2軟件的發(fā)展演變軟件的發(fā)展演變 手編程序:直接用手編程序:直接用機(jī)器語言機(jī)器語言編寫編寫 用一些約定的文字、符號和數(shù)字按用一些約定的文字、符號和數(shù)字按規(guī)定的格式來表示各種不同的指令規(guī)定的格式來表示各種不同的指令, 再用這些指令來編寫程序再用這些指令來編寫程序 系統(tǒng)結(jié)構(gòu)基本概念3. 源程序v算法語言算法語言:按實(shí)際需要規(guī)定好的一套基本符號以及按實(shí)際需要規(guī)定好的一套基本符號以及由這套基本

22、符號構(gòu)成程序的規(guī)則。由這套基本符號構(gòu)成程序的規(guī)則。v源程序源程序:用算法語言編寫的程序。用算法語言編寫的程序。v通常采用下面兩種方法把源程序翻譯為機(jī)器語言通常采用下面兩種方法把源程序翻譯為機(jī)器語言 :編譯系統(tǒng)編譯系統(tǒng):用編譯程序?qū)⒃闯绦蚓幾g成機(jī)器語言用編譯程序?qū)⒃闯绦蚓幾g成機(jī)器語言形式的目的程序,然后在目標(biāo)系統(tǒng)運(yùn)行。形式的目的程序,然后在目標(biāo)系統(tǒng)運(yùn)行。解釋系統(tǒng)解釋系統(tǒng):逐個解釋并立即執(zhí)行源程序的語句,逐個解釋并立即執(zhí)行源程序的語句,它不是編出目的程序后再執(zhí)行,而是直接逐一解它不是編出目的程序后再執(zhí)行,而是直接逐一解釋語句并得出計算結(jié)果。釋語句并得出計算結(jié)果。系統(tǒng)結(jié)構(gòu)基本概念4. 操作系統(tǒng)v用來

23、管理計算機(jī)資源用來管理計算機(jī)資源( (如處理器、內(nèi)存、外部設(shè)備和各如處理器、內(nèi)存、外部設(shè)備和各種編譯、應(yīng)用程序種編譯、應(yīng)用程序) )和自動調(diào)度用戶的作業(yè)程序和自動調(diào)度用戶的作業(yè)程序, ,而使而使多個用戶能有效地共用一套計算機(jī)系統(tǒng)。多個用戶能有效地共用一套計算機(jī)系統(tǒng)。v根據(jù)使用環(huán)境要求根據(jù)使用環(huán)境要求, ,操作系統(tǒng)大致分為操作系統(tǒng)大致分為批處理操作系統(tǒng)批處理操作系統(tǒng)、分分時操作系統(tǒng)時操作系統(tǒng)、網(wǎng)絡(luò)操作系統(tǒng)網(wǎng)絡(luò)操作系統(tǒng)、實(shí)時操作系統(tǒng)實(shí)時操作系統(tǒng)等多種。等多種。5. 數(shù)據(jù)庫管理系統(tǒng)v數(shù)據(jù)庫數(shù)據(jù)庫:實(shí)現(xiàn)有組織地、動態(tài)地存儲大量相關(guān)數(shù)據(jù)實(shí)現(xiàn)有組織地、動態(tài)地存儲大量相關(guān)數(shù)據(jù), ,方便方便多用戶訪問的計算機(jī)

24、軟、硬件資源組成的系統(tǒng)。多用戶訪問的計算機(jī)軟、硬件資源組成的系統(tǒng)。v數(shù)據(jù)庫管理系統(tǒng)數(shù)據(jù)庫管理系統(tǒng):數(shù)據(jù)庫和數(shù)據(jù)庫管理軟件一起數(shù)據(jù)庫和數(shù)據(jù)庫管理軟件一起, ,組成了組成了數(shù)據(jù)庫管理系統(tǒng)。數(shù)據(jù)庫管理系統(tǒng)。系統(tǒng)結(jié)構(gòu)基本概念1.4.1 1.4.1 多級組成的計算機(jī)系統(tǒng)多級組成的計算機(jī)系統(tǒng) 1.4 1.4 計算機(jī)系統(tǒng)的層次結(jié)構(gòu)計算機(jī)系統(tǒng)的層次結(jié)構(gòu)機(jī)器語言級,機(jī)器語言級,由微程序解釋由微程序解釋機(jī)器指令系統(tǒng)機(jī)器指令系統(tǒng) 硬硬件件級級混混合合級級面向用戶,為方便用面向用戶,為方便用戶編寫應(yīng)用程序而設(shè)戶編寫應(yīng)用程序而設(shè)置。由各種高級語言置。由各種高級語言編譯程序支持和執(zhí)行編譯程序支持和執(zhí)行 系統(tǒng)結(jié)構(gòu)基本概念1

25、.4.2 1.4.2 軟件與硬件的邏輯等價性軟件與硬件的邏輯等價性v隨著大規(guī)模集成電路技術(shù)的發(fā)展和軟件硬化的趨勢,計算機(jī)系統(tǒng)軟、硬件界限已經(jīng)變得模糊了。v任何操作可以由軟件來實(shí)現(xiàn),也可以由硬件來實(shí)現(xiàn);任何任何操作可以由軟件來實(shí)現(xiàn),也可以由硬件來實(shí)現(xiàn);任何指令的執(zhí)行可以由硬件完成,也可以由軟件來完成。指令的執(zhí)行可以由硬件完成,也可以由軟件來完成。v就目前而言,一些計算機(jī)的特點(diǎn)是,把原來在就目前而言,一些計算機(jī)的特點(diǎn)是,把原來在一般機(jī)器級一般機(jī)器級通過編制程序?qū)崿F(xiàn)的操作,如整數(shù)乘除法指令、浮點(diǎn)運(yùn)算通過編制程序?qū)崿F(xiàn)的操作,如整數(shù)乘除法指令、浮點(diǎn)運(yùn)算指令、處理字符串指令等等,改為直接由指令、處理字符串

26、指令等等,改為直接由硬件硬件完成。完成。v現(xiàn)在已經(jīng)可以把許多復(fù)雜的、常用的程序制作成現(xiàn)在已經(jīng)可以把許多復(fù)雜的、常用的程序制作成固件固件。就。就它的功能來說,是軟件;但從形態(tài)來說,又是硬件。傳統(tǒng)它的功能來說,是軟件;但從形態(tài)來說,又是硬件。傳統(tǒng)的軟件部分,今后完全有可能的軟件部分,今后完全有可能“固化固化”甚至甚至“硬化硬化”。 計算機(jī)組成原理計算機(jī)組成原理 第一章計算機(jī)系統(tǒng)概論第一章計算機(jī)系統(tǒng)概論 本章小結(jié)本章小結(jié)l計算機(jī)的類型:模擬和數(shù)字;專用和通用;巨型機(jī)、大型機(jī)、小型計算機(jī)的類型:模擬和數(shù)字;專用和通用;巨型機(jī)、大型機(jī)、小型機(jī)、微型機(jī)、單片機(jī)。機(jī)、微型機(jī)、單片機(jī)。l計算機(jī)的應(yīng)用領(lǐng)域。計算

27、機(jī)的應(yīng)用領(lǐng)域。l計算機(jī)從開始出現(xiàn)到目前經(jīng)歷了一個快速發(fā)展的過程。按計算機(jī)所計算機(jī)從開始出現(xiàn)到目前經(jīng)歷了一個快速發(fā)展的過程。按計算機(jī)所使用的微電子器件的發(fā)展可將電子計算機(jī)分成五代。使用的微電子器件的發(fā)展可將電子計算機(jī)分成五代。 l計算機(jī)系統(tǒng)由計算機(jī)系統(tǒng)由軟件系統(tǒng)軟件系統(tǒng)與與硬件系統(tǒng)硬件系統(tǒng)組成。組成。l計算機(jī)的硬件系統(tǒng)由有形的電子器件等構(gòu)成的,它包括計算機(jī)的硬件系統(tǒng)由有形的電子器件等構(gòu)成的,它包括運(yùn)算器、存運(yùn)算器、存儲器、控制器、適配器、輸入輸出設(shè)備儲器、控制器、適配器、輸入輸出設(shè)備。l計算機(jī)的軟件系統(tǒng)是計算機(jī)不同于一般電子設(shè)備的本質(zhì)所在。計算計算機(jī)的軟件系統(tǒng)是計算機(jī)不同于一般電子設(shè)備的本質(zhì)所在

28、。計算機(jī)的軟件一般分為機(jī)的軟件一般分為系統(tǒng)程序系統(tǒng)程序和和應(yīng)用程序應(yīng)用程序兩大類。兩大類。l計算機(jī)的層次結(jié)構(gòu)通常由計算機(jī)的層次結(jié)構(gòu)通常由微程序設(shè)計級、微程序設(shè)計級、 一般機(jī)器級、操作系統(tǒng)一般機(jī)器級、操作系統(tǒng)級、匯編語言級、高級語言級級、匯編語言級、高級語言級組成。組成。習(xí)題11.計算機(jī)系統(tǒng)應(yīng)包括(計算機(jī)系統(tǒng)應(yīng)包括( )。)。A)運(yùn)算器、存儲器、控制器 B)主機(jī)與外部設(shè)備C)硬件系統(tǒng)與軟件系統(tǒng) D)系統(tǒng)軟件與應(yīng)用軟件2.計算機(jī)的存儲器系統(tǒng)是指(計算機(jī)的存儲器系統(tǒng)是指( )。)。A)RAM存儲器 B) ROM存儲器C)主存儲器 D)主存儲器和外存儲器3.計算機(jī)硬件能直接執(zhí)行的只能是(計算機(jī)硬件能直接執(zhí)行的只能是( ) 。A符號語言 B機(jī)器語言C匯編語言 D機(jī)器語言和匯編語言4.馮諾依曼結(jié)構(gòu)計算機(jī)的基本特點(diǎn)是馮諾依曼結(jié)構(gòu)計算機(jī)的基本特點(diǎn)是 ( )。)。A)多指令流單數(shù)據(jù)流 B)存儲程序并按地址順序執(zhí)行C)堆棧操作 D)存儲器按內(nèi)部選擇地址5. 下面下面( )組設(shè)備包括組設(shè)備包括:輸入設(shè)備輸入設(shè)備,輸出設(shè)備和存儲設(shè)備輸出設(shè)備和存儲設(shè)備. A) 顯示器,CPU和ROM B) 磁盤,鼠標(biāo)和鍵盤 C) 鼠標(biāo),繪圖儀和光盤 D) 磁帶,打印機(jī)和調(diào)制解調(diào)器CDBBC

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!