電路課設(shè)報(bào)告

上傳人:jun****875 文檔編號(hào):23625680 上傳時(shí)間:2021-06-10 格式:DOC 頁(yè)數(shù):28 大?。?85.91KB
收藏 版權(quán)申訴 舉報(bào) 下載
電路課設(shè)報(bào)告_第1頁(yè)
第1頁(yè) / 共28頁(yè)
電路課設(shè)報(bào)告_第2頁(yè)
第2頁(yè) / 共28頁(yè)
電路課設(shè)報(bào)告_第3頁(yè)
第3頁(yè) / 共28頁(yè)

下載文檔到電腦,查找使用更方便

9.9 積分

下載資源

還剩頁(yè)未讀,繼續(xù)閱讀

資源描述:

《電路課設(shè)報(bào)告》由會(huì)員分享,可在線閱讀,更多相關(guān)《電路課設(shè)報(bào)告(28頁(yè)珍藏版)》請(qǐng)?jiān)谘b配圖網(wǎng)上搜索。

1、目錄1. 仿真軟件PSpice概述11.1 PSpice簡(jiǎn)介11.2 Pspice的仿真軟件結(jié)構(gòu)11.3 PSpice的基本操作22 RLC串聯(lián)電路的諧振分析32.1 創(chuàng)建電路圖32.2 理論分析42.3 模擬過(guò)程及參數(shù)設(shè)置82.4 模擬結(jié)果和比較分析93單管放大電路的分析153.1 原理電路圖153.2 電路理論分析163.3 電路仿真174 課程設(shè)計(jì)的心得體會(huì)245 參考文獻(xiàn)251. 仿真軟件PSpice概述1.1 PSpice簡(jiǎn)介用于模擬電路仿真的SPICE(Simulation Program with Integrated Circuit Emphasis)軟件于1972年由美國(guó)加州

2、大學(xué)伯克利分校的計(jì)算機(jī)輔助設(shè)計(jì)小組利用FORTR AN語(yǔ)言開(kāi)發(fā)而成,主要用于大規(guī)模集成電路的計(jì)算機(jī)輔助設(shè)計(jì)。SPICE的正式版SPICE 2G在1975年正式推出,但是該程序的運(yùn)行環(huán)境至少為小型機(jī)。1985年,加州大學(xué)伯克利分校用C語(yǔ)言對(duì)SPICE軟件進(jìn)行了改寫(xiě), 并由MICROSIM公司推出。1988年SPICE被定為美國(guó)國(guó)家工業(yè)標(biāo)準(zhǔn)。與此同時(shí),各種以SPICE為核心的商用模擬電路仿真軟件,在SPICE的基礎(chǔ)上做了大量實(shí)用化工作,從而使SPICE成為最為流行的電子電路仿真軟件。PSpice是一個(gè)電路通用分析程序,它主要是實(shí)現(xiàn)對(duì)電路進(jìn)行模擬和仿真。在電子設(shè)計(jì)自動(dòng)化發(fā)展的過(guò)程中,PSpice起到

3、了重要的作用。該程序通過(guò)對(duì)電路進(jìn)行模擬計(jì)算,達(dá)到輔助電路設(shè)計(jì)的目的。PSpice可以用兩種方式輸入:網(wǎng)單輸入文件(即程序的輸入)和電路圖輸入。由于電路圖輸入更為方便快捷,因此我們常常利用電路圖編輯工具來(lái)編輯電路圖以及設(shè)置和分析各種過(guò)程參數(shù)。OrCAD/PSpice9程序有龐大的元件庫(kù),可以模擬6類(lèi)常用的電路元器件:基本無(wú)源元件,如電阻,電容,電感,傳輸線等;常用的半導(dǎo)體器件,如二極管,雙極晶體管,結(jié)型場(chǎng)效應(yīng)管,MOS管等;獨(dú)立電壓源和獨(dú)立電流源;各種受控電壓源,受控電流源和受控開(kāi)關(guān);基本數(shù)字電路單元,如門(mén)電路,傳輸門(mén),觸發(fā)器,可編程邏輯陣列等;常用單元電路,如運(yùn)算放大器,555定時(shí)器等。OrC

4、AD/PSpice9中采用的是實(shí)用工程單位制,如電壓用伏(V),電流用安(A),功率用瓦()等。在運(yùn)行中,PSpice會(huì)根據(jù)具體對(duì)象自動(dòng)確定其單位。用戶在輸入數(shù)據(jù)時(shí),代表單位的字母可以省去。例如給電壓源賦值時(shí),鍵入12和12V意思一樣。PSpice中的數(shù)字采用科學(xué)表示方式,即可以使用整數(shù),小數(shù)和以10為底的指數(shù)。用指數(shù)表示時(shí),底數(shù)10用E來(lái)表示。1.2 Pspice的仿真軟件結(jié)構(gòu) OrCAD/Pspice9是一個(gè)軟件包,它共有六大功能模塊,分別是PspiceA/D,Capture,Probe,Stimulus Editor,Model Editor和Optimizer。各模塊的功能簡(jiǎn)述如下:(

5、1)電路模擬分析的核心模塊PspiceA/D。它實(shí)現(xiàn)電路的仿真與分析,可分析的電路特性有6類(lèi)15種:第一類(lèi)直流分析,包括靜態(tài)工作點(diǎn),直流靈敏度,直流傳輸特性,直流特性掃描分析。第二類(lèi)交流分析,包括頻率特性,噪聲特性分析。第三類(lèi)瞬態(tài)分析,包括瞬態(tài)響應(yīng)分析,傅立葉分析。第四類(lèi)參數(shù)掃描,包括溫度特性分析,參數(shù)掃描分析。第五類(lèi)統(tǒng)計(jì)分析,包括蒙托卡諾分析,最壞情況分析。第六類(lèi)邏輯模擬,包括邏輯模擬,數(shù)/?;旌夏M,最壞情況時(shí)序分析。在使用的過(guò)程中,它接受網(wǎng)單文件的輸入,并列方程進(jìn)行計(jì)算求解,最后輸出結(jié)果。仿真的結(jié)果一般由圖形文件(*.DAT)和數(shù)據(jù)文件(*.OUT)兩部分組成。(2)電路圖編輯模塊。其主

6、要功能是以人機(jī)交互方式在屏幕上繪制電路圖,設(shè)置電路中元器件的參數(shù),生成多種格式要求的電連接網(wǎng)表。在改程序中可直接運(yùn)行Pspice及其他配套功能模塊。(3)激勵(lì)信號(hào)編輯模塊。其主要功能是以人機(jī)交互方式生成電路模擬中需要的各激勵(lì)信號(hào)源,包括瞬態(tài)分析中需要的脈沖,分段線性,調(diào)幅正弦,調(diào)頻,指數(shù)等5種信號(hào)波,形和邏輯模擬中需要的時(shí)鐘,脈沖,總線等各種信號(hào)。(4)模擬參數(shù)編輯模塊。其主要功能是編輯來(lái)自廠家的器件的數(shù)據(jù)信息,生成Pspice模擬時(shí)所需要的模擬參數(shù)。因?yàn)楸M管PspiceA/D的模擬庫(kù)中提供了1萬(wàn)多種元器件和單元集成電路的模擬參數(shù),但在實(shí)際應(yīng)用中仍有用戶需采用未包括在模擬參數(shù)庫(kù)中的元器件,這是

7、Model Editor軟件就顯得至關(guān)重要。(5)波形顯示和分析模塊。其主要功能是將PSpice的分析結(jié)果用圖形顯示出來(lái)。(6)電路設(shè)計(jì)優(yōu)化模塊。其主要功能是自動(dòng)調(diào)整元器件的參數(shù)設(shè)計(jì)值,使電路的特性得以改善,實(shí)現(xiàn)電路的優(yōu)化設(shè)計(jì)。1.3 PSpice的基本操作1.3.1 使用Capture模塊編輯電路圖(1)新建仿真設(shè)計(jì)項(xiàng)目(2)放置元器件:用鼠標(biāo)單擊原理圖繪制窗口,選擇Place/Part,或點(diǎn)擊窗口右側(cè)對(duì)應(yīng)的繪圖工具快捷鍵,出現(xiàn)Place Part對(duì)話框。在Part窗口鍵入元器件名稱,點(diǎn)擊Part Search,查找相應(yīng)的元件。(3)連線與設(shè)置節(jié)點(diǎn):電路圖連線。Place/Wire(shif

8、t+w),單擊右鍵,單擊End Wire結(jié)束連線。設(shè)置節(jié)點(diǎn)名。Place/Net Alias,在Alias中輸入節(jié)點(diǎn)名,單擊OK,將出現(xiàn)的小方框移到節(jié)點(diǎn)名的位置,單擊左鍵即可,單擊右鍵,選中End Mode,結(jié)束節(jié)點(diǎn)名設(shè)置。(4)編輯元件屬性:雙擊元器件,在Property Editor對(duì)話框中設(shè)置參數(shù)。或者雙擊參數(shù),在Value欄下設(shè)置參數(shù)。單擊OK即可。1.3.2 電路仿真電路仿真包括靜態(tài)工作點(diǎn)分析(將電路中的電容開(kāi)路,電感短路,針對(duì)電流的直流電平值,計(jì)算電路的直流偏置電壓)、瞬態(tài)分析(求電路的時(shí)域響應(yīng))、傅里葉分析(在瞬態(tài)分析完成后,計(jì)算輸出波形的直流、基波和各次諧波分量)、直流分析(當(dāng)

9、電路中某一參數(shù)在一定范圍內(nèi)變化時(shí)求電路的直流偏置特性)、直流傳輸特性分析(計(jì)算電路的直流小信號(hào)增益,輸入電阻和輸出電阻)、交流分析(、參數(shù)掃描分析和溫度分析等?,F(xiàn)在就以直流分析為例講述電路仿真的步驟:(1)用Capture軟件畫(huà)好電路圖。(2)創(chuàng)建仿真簡(jiǎn)要表,設(shè)置分析功能:?jiǎn)螕鬚spice/New Simulation Profile命令創(chuàng)建一個(gè)仿真分析簡(jiǎn)要表,設(shè)置分析類(lèi)型和參數(shù)。(3)運(yùn)行Pspice:選擇菜單命令Pspice/ Simulation Selected Profile(s)。(4)在Probe窗口中,執(zhí)行Trace/Add Traces,選擇要顯示的變量名。單擊OK即可看到電

10、路電壓傳輸特性曲線。2 RLC串聯(lián)電路的諧振分析2.1 創(chuàng)建電路圖 圖2.1 RLC串聯(lián)諧振電路圖2.2 理論分析2.2.1 基本原理圖2.2所示為RLC串聯(lián)電路,在可變頻的正弦電壓源Us激勵(lì)下,由于感抗、容抗隨頻率變動(dòng),所以電路中的電壓、電流響應(yīng)亦隨頻率變動(dòng)。圖2.2 RLC串聯(lián)諧振示意圖電路的輸入阻抗Z(jw)可表示為:頻率特性表示為: 在輸入電壓Ui為定值時(shí),電路中的電流的的表達(dá)式為: 可以看出,由于串聯(lián)電路中同時(shí)存在著電感L和電容C,兩者的頻率特性不僅相反,(感抗與w成正比,而容抗與w成反比),而且直接相減(電抗角差180)??梢钥隙ǎ欢ù嬖谝粋€(gè)角頻率w0,是感抗和容抗相互完全抵消,

11、即X(jw0)=0。當(dāng)w=w0時(shí),X(jw0)=0,電路的工作狀況將出現(xiàn)一些重要的特征,現(xiàn)分述如下:(1),就是I(jw0)與Us(jw0)同相,工程上將電路的這一特殊狀態(tài)定義為諧振,由于是在RLC串聯(lián)電路中發(fā)生的諧振,又常稱為串聯(lián)諧振。有上述分析可知,諧振發(fā)生的條件為: 由上式可知電路發(fā)生諧振的角頻率w0和頻率f0為: 可以看書(shū),RLC串聯(lián)電路的諧振頻率只有一個(gè),而且僅與電路中的L、C有關(guān),與電阻R無(wú)關(guān)。W0(或f0)稱為電路的固有頻率。因此只有當(dāng)輸入信號(hào)Us的頻率與電路的固有頻率f0相同時(shí),才能在電路中激起諧振。取電阻R上的電壓U0作為響應(yīng),當(dāng)輸入電壓Ui的幅值維持不變時(shí),在不同頻率的信號(hào)

12、激勵(lì)下,測(cè)出U0之值,然后以f為橫坐標(biāo),以U0/Ui為縱坐標(biāo),會(huì)出光滑的曲線,此即為幅頻特性曲線,如圖:在處,即幅頻特性曲線尖峰所在的頻率點(diǎn)產(chǎn)生諧振,此時(shí),XL=Xc,電路呈純阻性,電路阻抗的的模為最小。在輸入電壓Ui為定值時(shí),電路中的電流達(dá)到最到最大值,且與輸入電壓Ui同相位。從理論上講,此時(shí)Ui=UR=U0,UL=UC=QUi,式中的Q稱為電路得品質(zhì)因數(shù)。2.2.2 理論計(jì)算結(jié)果根據(jù)原理和公式,串聯(lián)諧振電路的阻抗隨頻率變化為,阻抗模為,因此可得在w時(shí),X(jw)0,(jw)0,工作在容性區(qū),Rw0時(shí),X(jw)0,(jw)0,工作在感性區(qū),R|Z(jw)|,且。因此可以看出|Z(jw)|是

13、隨著頻率的變化先從無(wú)窮大減小,再又增加到無(wú)窮大的,最小值所對(duì)應(yīng)的w是諧振頻率,如圖2.3所示。圖2.3 阻抗的幅頻響應(yīng)而阻抗角的表達(dá)式為,的值先從無(wú)窮大減小到0,又從0增加到無(wú)窮大。因此阻抗角(jw)先從減小到0,再?gòu)?增加到。如圖2.4所示。圖2.4 阻抗的相頻響應(yīng) 由于電壓值保持恒定,故電流的幅頻響應(yīng)曲線應(yīng)和電阻的相反,為先從0增加到某一最大值(U/R),再?gòu)倪@一最大值減小至0而相同的,對(duì)于電阻R上的電壓,由于電阻不變,由U=IR知,電阻上的電壓的幅頻曲線與電流曲線相一致。電流的幅頻曲線如圖2.5所示。 圖2.5 電流諧振曲線根據(jù)原理,我們知道,其中,理論曲線如圖2.6所示。UC和UL曲線

14、的交點(diǎn)所對(duì)應(yīng)的值就是。對(duì)于Q值,由公式Q=wL/R可以得出,Q隨電阻增大而減小。 我們可計(jì)算出,圖2.6 UL、UC的幅頻特性曲線 R=200時(shí),R=400時(shí),R=800時(shí),2.3 模擬過(guò)程及參數(shù)設(shè)置 在PSpice的Schematics程序中畫(huà)好電路圖后,按照?qǐng)D設(shè)置好參數(shù),分別將電阻的阻值設(shè)置為200、400、800歐姆,分別進(jìn)行仿真,觀察模擬結(jié)果波形。設(shè)置好參數(shù)后,單擊Analysis中的Setup進(jìn)行仿真設(shè)置。圖2.7仿真參數(shù)設(shè)置 2.4 模擬結(jié)果和比較分析(a) 電阻為200歐姆(b) 電阻為400歐姆 (c) 電阻為800歐姆圖 2.8電阻上電壓的幅頻曲線(a) 電阻值為200歐姆(

15、b) 電阻值為400歐姆(c) 電阻值為800歐姆圖2.9 電路中電流的幅頻曲線(a) 電阻值為200歐姆(b)電阻值為400歐姆(c) 電阻值為800歐姆圖2.10電感上電壓和電容上電壓的幅頻曲線(a) 電阻值為200歐姆(b) 電阻值為400歐姆(c) 電阻值為800歐姆圖2.11 阻抗的幅頻響應(yīng)曲線(a) 電阻值為200歐姆(b) 電阻值為400歐姆(c) 電阻值為800歐姆圖2.12 阻抗的相頻響應(yīng)曲線(這個(gè)電路圖有三個(gè)模擬,要改變電阻的值來(lái)觀察在不同的電阻情況下的電流、各電壓以及諧振點(diǎn)、Q值的變化,模擬的結(jié)果可以從Probe窗口中的波形圖看出來(lái)。如圖2.7-2.12所示。電感上和電抗

16、上的電壓,趨勢(shì)是電容上電壓先從某一值增加達(dá)到最大值再相交,電感先相交后達(dá)到最大值再減小。同時(shí),我們可以從圖觀察到,隨著電阻值的增大,電抗曲線和電感曲線的交點(diǎn)所對(duì)應(yīng)的電壓值減小,即UC(jw0)=UL(jw0)減小。這是由于:當(dāng)R=200時(shí),,當(dāng)R=400時(shí),,當(dāng)R=800時(shí), ,當(dāng)w=w0時(shí),,當(dāng)R增大時(shí),UC(jw0)=UL(jw0)減小。對(duì)于阻抗值和阻抗角變化趨勢(shì)是一樣的,只是阻抗值的最小值會(huì)隨著電阻值的增加而增加。在圖中由于電阻值比較小,所以看不出來(lái)。阻抗角的變化更為平緩一些。在這三種情況中,諧振頻率一直沒(méi)變,因?yàn)橛晒剑嚎梢钥闯觯C振頻率只取決于電感和電容的大小,與電阻的大小無(wú)關(guān)。因此

17、只要電感和電容沒(méi)變,諧振頻率就不會(huì)變。而對(duì)于Q值,有公式: 由電感上電壓和電容上電壓的幅頻曲線圖可以得出UC(jw0)=UL(jw0)減小,所以Q值也隨著電阻值的增大而減小。3單管放大電路的分析3.1 原理電路圖本電路設(shè)計(jì)采用了三極管的射級(jí)偏置放大電路的連接方式。具體電路如下圖:圖3.1射級(jí)偏置放大電路注:該圖是在OrCAD Capture環(huán)境中構(gòu)造的電路原圖。3.2 電路理論分析3.2.1 靜態(tài)工作點(diǎn)分析如圖所示,本設(shè)計(jì)電路是為了實(shí)現(xiàn)三極管的單管放大功能,則應(yīng)該保證其中的三極管能夠正常放大。如下圖所示,該圖為其直流通路,工作電壓選取三極管Q2N2222的額定工作電壓12V。然后,為了減小信號(hào)

18、源的輸出波動(dòng)以及一些不可預(yù)知因素,在本設(shè)計(jì)中作者加入了由和組成的基級(jí)分壓式射級(jí)偏置電路來(lái)穩(wěn)定該三極管的靜態(tài)工作點(diǎn),避免三極管受到輸入信號(hào)波動(dòng)和溫度變化的影響(具體見(jiàn)后的交流掃描的溫度分析),而使得三極管工作在非線性區(qū),從而產(chǎn)生意外的非線性失真。 圖3.2 直流通路電路圖由圖可知,由于電阻對(duì)靜態(tài)工作點(diǎn)的自動(dòng)調(diào)節(jié)(負(fù)反饋)作用,該電路的Q點(diǎn)基本穩(wěn)定。本電路設(shè)計(jì)中的=3k , =1k 。則是為了控制電流在三極管線性工作區(qū)域內(nèi),而不至于太大產(chǎn)生飽和失真。其中估算靜態(tài)工作點(diǎn)理論分析如下式 3.2.2 動(dòng)態(tài)分析圖3.3 交流等效電路如圖所示,共射級(jí)放大電路的小信號(hào)等效電路。根據(jù)電壓增益、輸入電阻的定義,由

19、圖3.3可分別得到、的估算表達(dá)式: 電壓增益 輸入電阻 輸出電阻 3.3 電路仿真3.3.1 靜態(tài)分析靜態(tài)工作點(diǎn)分析設(shè)置如下:圖3.4 靜態(tài)工作點(diǎn)分析參數(shù)設(shè)置靜態(tài)工作點(diǎn)仿真分析如圖:圖3.5 靜態(tài)工作點(diǎn)(電壓標(biāo)記)圖3.6 靜態(tài)工作點(diǎn)(電流標(biāo)記)圖3.7 靜態(tài)工作點(diǎn)(功率標(biāo)記)由于靜態(tài)點(diǎn)理論分析時(shí)較小故近似認(rèn)為流過(guò)的電流等于流過(guò)的電流,因此仿真數(shù)據(jù)與理論分析之間存在誤差。3.3.2時(shí)域分析(瞬態(tài)分析)在設(shè)置中,可以設(shè)置波形圖中有3個(gè)周期,即3ms。信號(hào)源采用的是1kHz,則設(shè)置如下圖3.8 瞬態(tài)分析設(shè)置波形圖如下(電壓、電流截取了前三個(gè)周期):圖3.9 電壓輸入波形圖3.10電壓輸出波形圖3.

20、11 電流輸入波形圖3.12 電流輸出波形共射級(jí)放大電路是同時(shí)對(duì)電流電壓放大,由上兩圖可知其電路放大倍數(shù)為: Av=400mv/5mv=80 動(dòng)態(tài)理論分析時(shí),由于靜態(tài)工部位作點(diǎn)分析時(shí)存在近似,本身動(dòng)態(tài)分析也存在誤差,故仿真放大倍數(shù)與理論分析放大倍數(shù)存在誤差。3.3.3交流分析交流掃描分析電路圖如圖所示圖3.13 交流掃描電路圖交流掃描分析的設(shè)置如下:圖3.14 通頻帶分析設(shè)置幅頻與相頻曲線輸出波形圖:圖3.15 幅頻曲線由上圖可知:Avm=38dB;Av=38-3=35dB。由理論可知通頻帶為減去下限頻率,由幅頻響應(yīng)圖像可大致看出上限頻率和下限頻率即:19.055M-136.45819.055

21、M圖3.16 相頻曲線可以看出,通頻帶中的相位變化不大。故在通頻帶中,相位穩(wěn)定。4 課程設(shè)計(jì)的心得體會(huì)通過(guò)為期一個(gè)星期的認(rèn)真學(xué)習(xí)和實(shí)際操作,我終于完成了本次電路CAA課程設(shè)計(jì)。在本次電路課程設(shè)計(jì)的過(guò)程中,我學(xué)到了很多,充分理解了電路的基本原理。在實(shí)際仿真過(guò)程中,也遇到了很多困難,但都在自己的自我學(xué)習(xí)和同學(xué)的幫助下得到很好的解決,通過(guò)對(duì)電路的仿真我更清晰的看到了隨著某種因素的改變,電路參考量的變化,使理論知識(shí)更加直觀生動(dòng)的展現(xiàn)了出來(lái),加深了我的理解。本次課程設(shè)計(jì)中,我首先學(xué)習(xí)怎樣使用PSpice軟件。我通過(guò)從圖書(shū)館借來(lái)的書(shū)籍和網(wǎng)上找到的資料 ,充分了解了PSpice的結(jié)構(gòu)組成以及主要功能,并重點(diǎn)

22、學(xué)習(xí)了其中仿真參數(shù)設(shè)置中各個(gè)參數(shù)的含義以及使用Capture模塊編輯電路圖的具體步驟和一些細(xì)節(jié)問(wèn)題。然后通過(guò)電腦運(yùn)行PSpice軟件進(jìn)行一些簡(jiǎn)單的仿真,通過(guò)實(shí)際的操作PSpice軟件,我真切的體會(huì)到理論與實(shí)際的差距,雖然之前做了充分的準(zhǔn)備,但到實(shí)際操作的時(shí)候還是遇到很多的問(wèn)題,通過(guò)查找資料和與同學(xué)討論順利了解決了操作問(wèn)題。學(xué)會(huì)了使用PSpice軟件進(jìn)行仿真,我開(kāi)始完成本次電路課設(shè)中我的任務(wù)。首先根據(jù)電路的理論知識(shí)設(shè)計(jì)好電路圖,然后進(jìn)行理論分析,使自己的電路圖具有可行性,并將幾個(gè)重要的電路參數(shù)值記錄下。然后進(jìn)行仿真,得到所需要的仿真圖像。最后對(duì)自己得到的仿真數(shù)據(jù)進(jìn)行分析,對(duì)理論知識(shí)進(jìn)行驗(yàn)證,并分

23、析誤差產(chǎn)生原因。課設(shè)的最后一部分就是寫(xiě)實(shí)驗(yàn)報(bào)告了,有很多人都認(rèn)為既然是課程設(shè)計(jì)最重要的就是操作了,實(shí)際上實(shí)驗(yàn)報(bào)告也是非常重要的,通過(guò)實(shí)驗(yàn)報(bào)告我們可以對(duì)本次課設(shè)進(jìn)行總結(jié),對(duì)自己的出現(xiàn)問(wèn)題進(jìn)行詳細(xì)的分析和解決方法,以免自己在以后再犯類(lèi)似的錯(cuò)誤。這才是最重要的,這樣自己才能進(jìn)步,才能有所收獲。電路課程設(shè)計(jì)實(shí)驗(yàn)報(bào)告的嚴(yán)格要求讓我們以后在寫(xiě)論文或者報(bào)告時(shí)候更加的規(guī)范,對(duì)我們以后有很大的益處。總體上來(lái)說(shuō),由于是第一次做課程設(shè)計(jì),在完成課設(shè)的過(guò)程中可謂困難重重,許多東西之前從沒(méi)有碰過(guò),但通過(guò)本次課設(shè)自己也收獲頗豐。雖然該說(shuō)PSpice軟件已經(jīng)很老的軟件了,但學(xué)會(huì)使用它我們可以方便的對(duì)自己學(xué)到的理論知識(shí)進(jìn)行仿

24、真,在我們目前的學(xué)習(xí)中用處很大,并且類(lèi)似的軟件使用都是相通的,學(xué)會(huì)使用PSpice軟件,其他就很容易學(xué)會(huì)了。而且通過(guò)本次課設(shè)讓我更深的了解了電路這門(mén)課,使我對(duì)電信這個(gè)專(zhuān)業(yè)更有興趣,從中我找到了樂(lè)趣,獲得了成就感。5 參考文獻(xiàn)1 邱關(guān)源,羅先覺(jué).電路.北京:高等教育出版社,20062 吳友宇,伍時(shí)和,凌玲.模擬電子技術(shù)基礎(chǔ).北京:清華大學(xué)出版社,20093 趙世強(qiáng).電子電路EAD技術(shù).西安:西安電子科技大學(xué)出版社,20004 李永平,董欣主,宋小濤.Pspice電路原理與實(shí)現(xiàn).北京:國(guó)防工業(yè)出版社,20045 康華光,陳大欽,張林.電子技術(shù)基礎(chǔ)模擬部分.北京:高等教育出版社,20066 劉愛(ài). Pspice電路設(shè)計(jì)與實(shí)現(xiàn).北京:國(guó)防工業(yè)出版社,2005本科生課程設(shè)計(jì)成績(jī)?cè)u(píng)定表姓 名性 別專(zhuān)業(yè)、班級(jí)課程設(shè)計(jì)題目:課程設(shè)計(jì)答辯或質(zhì)疑記錄:成績(jī)?cè)u(píng)定依據(jù):最終評(píng)定成績(jī)(以優(yōu)、良、中、及格、不及格評(píng)定)指導(dǎo)教師簽字: 年 月 日

展開(kāi)閱讀全文
溫馨提示:
1: 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔

相關(guān)搜索

關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!