數(shù)電課設(shè)報(bào)告

上傳人:jun****875 文檔編號:23623939 上傳時(shí)間:2021-06-10 格式:DOC 頁數(shù):31 大小:852.41KB
收藏 版權(quán)申訴 舉報(bào) 下載
數(shù)電課設(shè)報(bào)告_第1頁
第1頁 / 共31頁
數(shù)電課設(shè)報(bào)告_第2頁
第2頁 / 共31頁
數(shù)電課設(shè)報(bào)告_第3頁
第3頁 / 共31頁

下載文檔到電腦,查找使用更方便

9.9 積分

下載資源

還剩頁未讀,繼續(xù)閱讀

資源描述:

《數(shù)電課設(shè)報(bào)告》由會員分享,可在線閱讀,更多相關(guān)《數(shù)電課設(shè)報(bào)告(31頁珍藏版)》請?jiān)谘b配圖網(wǎng)上搜索。

1、電子技術(shù)綜合訓(xùn)練任務(wù)書8 2010 年 秋 季學(xué)期學(xué) 生 姓 名韋榮祥學(xué) 號08230108專 業(yè) 方 向電力電子與電力傳動班 級電氣一班題 目 名 稱數(shù)控幅值三角波發(fā)生器制作一、設(shè)計(jì)內(nèi)容及技術(shù)要求:設(shè)計(jì)并制作一個(gè)三角波發(fā)生器,基本要求如下:1、能夠輸出頻率為100HZ-1KHZ的三角波信號;2、輸出信號幅值范圍為1-5V,在該范圍內(nèi)將輸出信號的幅值分為8檔,檔位通過按鍵調(diào)節(jié);3、輸出信號幅值檔位通過LED顯示; 4、電源:220V/50HZ的工頻交流電供電; (注:直流電源部分僅完成設(shè)計(jì)即可,不需制作,用實(shí)驗(yàn)室提供的穩(wěn)壓電源調(diào)試,但要求設(shè)計(jì)的直流電源能夠滿足電路要求)5、按照以上技術(shù)要求設(shè)計(jì)

2、電路,繪制電路圖,對設(shè)計(jì)的電路用Multisim或OrCAD/PspiceAD9.2進(jìn)行仿真,用萬用板焊接元器件,制作電路,完成調(diào)試、測試,撰寫設(shè)計(jì)報(bào)告。發(fā)揮部分:1、測量信號的頻率并指示;2、其它恰當(dāng)?shù)墓δ堋6?、提交成果?、設(shè)計(jì)報(bào)告2、作品3、電路原理圖:要求提交兩份,一份為CAD/EDA軟件繪制,另一份為手工繪制,圖紙大小自定,但要符合標(biāo)準(zhǔn),電路圖繪制要規(guī)范。三、設(shè)計(jì)進(jìn)度:1、時(shí)間:三周 2、進(jìn)度安排:(1)第一周 選題,熟悉題目,分析要求,查找資料,選擇方案,優(yōu)化方案,確定原理方框圖,單元電路設(shè)計(jì),選擇元器件;(2)第二周 進(jìn)行電路仿真,確定電路原理圖,畫出電路原理圖,購買元器件,焊接

3、電路;(3)第三周 電路調(diào)試,電路測試,繪制電路原理圖,完成設(shè)計(jì)報(bào)告,答辯。指導(dǎo)教師簽字:摘要三角波主要用在CRT作顯示器件的掃描電路中.如示波器,顯像管,顯示器等.三角波的特點(diǎn)是電壓漸漸增大突然降到零.正好適合用于掃描電路中,所以三角波有很重要也很普遍的用途,設(shè)計(jì)及實(shí)驗(yàn)結(jié)果表明,該信號發(fā)生器所生成的三角波信號不僅斜波部分具有良好的性線,而且其頻率和幅值均可調(diào)。關(guān)鍵詞:掃描電路 文氏電橋 過零比較 積分電路目錄1 設(shè)計(jì)任務(wù)和要求 1.1設(shè)計(jì)任務(wù) 1.2設(shè)計(jì)要求2 系統(tǒng)設(shè)計(jì) 2.1方案設(shè)計(jì) 2.2方案選取 2.3系統(tǒng)工作原理3 單元電路設(shè)計(jì) 3.1直流穩(wěn)壓電路 3.2三角波產(chǎn)生電路 3.2.1正

4、弦波產(chǎn)生電路3.2.2方波產(chǎn)生電路 3.2.3三角波產(chǎn)生電路3.3數(shù)字控制顯示電路 3.3.1顯示電路 3.2.2開關(guān)電路4 系統(tǒng)仿真5 電路安裝、調(diào)試與測試 5.1電路安裝 5.1.1元器件布局 5.1.2焊接 5.2電路調(diào)試 5.3系統(tǒng)功能及性能測試 5.3.1測試方法設(shè)計(jì)5.3.2測試結(jié)果及分析6總結(jié)7附錄1.設(shè)計(jì)任務(wù)及要求1.1設(shè)計(jì)任務(wù)根據(jù)所學(xué)知識以及通過操作multisim仿真軟件來設(shè)計(jì)三角波發(fā)生電路,并進(jìn)行仿真,然后購買器件進(jìn)行焊接及調(diào)試。1.2設(shè)計(jì)要求1、輸出三角波信號頻率為100HZ-1000HZ;2、輸出信號幅值范圍為1-5V,在該范圍內(nèi)將輸出信號的幅值分為8檔,檔位通過按鍵

5、調(diào)節(jié);3、輸出信號幅值檔位通過LED顯示; 4、三角波發(fā)生器用220V/50HZ的工頻交流電供電。(直流電源部分僅完成設(shè)計(jì)即可,不需制作,用實(shí)驗(yàn)室穩(wěn)壓電源調(diào)試)2.系統(tǒng)設(shè)計(jì)2.1方案設(shè)計(jì)能夠同時(shí)產(chǎn)生方波、三角波的電路形式很多,常見實(shí)現(xiàn)方法有以下幾種:由555定時(shí)器或單穩(wěn)態(tài)電路產(chǎn)生方波,然后將方波送入積分電路得到三角波,構(gòu)成方波三角波發(fā)生電路;有施密特觸發(fā)器和積分電路構(gòu)成產(chǎn)生方波三角波發(fā)生電路;有多諧振蕩產(chǎn)生方波在產(chǎn)生三角波;由正弦波發(fā)生器(如文氏電橋振蕩器)產(chǎn)生正弦波,然后將正弦波送入過零比較器得到方波,再將方波積分得到三角波,由此構(gòu)成方波三角波發(fā)生電路由此構(gòu)成方波三角波發(fā)生電路;由單片函數(shù)發(fā)

6、生器(如ICL8030)構(gòu)成方波三角波發(fā)生電路;用DDS(Direct Digital Synthesizer直接數(shù)字頻率合成技術(shù))技術(shù)產(chǎn)生方波、三角波等等。2.2方案選取方案一:圖1方波三角波發(fā)生電路此方案是利用多諧振蕩電路產(chǎn)生方波,再用積分電路產(chǎn)生三角波。T=(Rf1+Rf2)C(1+2R3R2)但由于設(shè)計(jì)要求三角波要能調(diào)頻,且占空比為一比一,所以此方案在調(diào)頻的時(shí)候會引起占空比的變化,顧此方案不是最佳方案。 方案二:圖2該方案與其他方案不同的是輸出譯碼電路采用A/D轉(zhuǎn)換器,將輸出的模擬信號轉(zhuǎn)換為數(shù)字信號,幅值分為八個(gè)檔位用譯碼器輸出,但是模數(shù)轉(zhuǎn)換器的造價(jià)過高,使得制造成本過高,而且模數(shù)轉(zhuǎn)換

7、器的本事參數(shù)先限定了他的工作準(zhǔn)確性,從而不能很好的實(shí)現(xiàn)所要求的功能。 方案三:數(shù)字控制和顯示電路 圖3波形發(fā)生電路: 圖4從電路所提供的幅值造價(jià)以及性能等條件綜合來看,方案三最為合適,波形產(chǎn)生電路產(chǎn)生符合要求的100HZ-1KH為5V的波形,譯碼顯示電路通過脈沖信號來控制檔位輸出,這兩部分通過一個(gè)CD4051連接,進(jìn)行輸出信號的選擇,這里波形的選擇運(yùn)用了電阻分壓的工作原理,通過CD4051進(jìn)行選擇。 CD4051相當(dāng)于一個(gè)單刀八擲開關(guān),開關(guān)接通哪一通道,由輸入的3位地址碼ABC來決定。“INH”是禁止端,當(dāng)“INH”=1時(shí),各通道均不接通。此外,CD4051還設(shè)有另外一個(gè)電源端VEE,以作為電

8、平位移時(shí)使用,從而使得通常在單組電源供電條件下工作的CMOS數(shù)字信號能直接控制這種多路開關(guān),并使這種多路開關(guān)可傳輸峰峰值達(dá)15V的交流信號。例如,若模擬開關(guān)的供電電源VDD=5V,VSS=0V,當(dāng)VEE=5V時(shí),只要對此模擬開關(guān)施加05V的數(shù)字控制信號,就可控制幅度范圍為5V5V的模擬信號。通過分析 2.3系統(tǒng)工作原理先讓RC電路產(chǎn)生自激振蕩產(chǎn)生正弦波,再將正弦波通入過零比較器產(chǎn)生方波,然后在將三角波輸入積分電路產(chǎn)生三角波。三角波幅值的控制在正弦波上控制,幅值用電阻分壓的方法在三角波輸出端控制,控制部分用按鍵控制74LS160的觸發(fā)脈沖來進(jìn)行計(jì)數(shù)在經(jīng)過模擬開關(guān)進(jìn)行通路的選擇,顯示部分用譯碼器及

9、顯示驅(qū)動電路和LED燈顯示不同檔位。原理方框圖: 方波過零比較器正弦波文氏電橋滑動變阻器 積分電路CD405174LS160 脈沖 幅值可控三角波74LS48LED燈顯示3單元電路的設(shè)計(jì)3.1直流穩(wěn)壓電路 1,基本要求要求設(shè)計(jì)制作一個(gè)多路輸出直流穩(wěn)壓電源,可將220V/50HZ交流電轉(zhuǎn)換為多路直流穩(wěn)壓輸出:+12V/1A,-12V/1A,+5V/1A,-5V/1A的電源。 2,基本原理與設(shè)計(jì)思路:直流穩(wěn)壓電源一般由電源變壓器T、整流濾波電路及穩(wěn)壓電路所組成,基本框圖如下。(1)電源變壓器T的作用是將電網(wǎng)220V的交流電壓變換成整流濾波電路所需要的交流電壓Ui。變壓器副邊與原邊的功率比為P2/

10、P1=,式中是變壓器的效率。(2)整流濾波電路:整流電路將交流電壓U1變換成脈動的直流電壓。再經(jīng)濾波電路濾除較大的紋波成分,輸出紋波較小的直流電壓U1。常用的整流濾波電路有全波整流濾波、橋式整流濾波等。電路圖:(3)穩(wěn)壓電路:按設(shè)計(jì)要求,所需的電源為12v和5v,因此穩(wěn)壓電路用7805和7812來獲取所需的電壓。(4)總的原理圖:設(shè)二次側(cè)電壓為V2,輸出電壓為V0,則V2=1.2V0,整流二極管的容許通過電流為2A到3A。 將以上7805換成7812得12V的穩(wěn)壓電源。3.2三角波產(chǎn)生電路3.2.1正弦波產(chǎn)生電路的設(shè)計(jì)與產(chǎn)生 圖5 正弦電路產(chǎn)生電路仿真波形:RC橋式振蕩由放大電路和選頻電路兩部

11、分組成,其選頻特性如下:令R=R4=R1 C1=C2=CZ1=R+1sC=1+sCRsCZ2=R1sCR+1sC=R1+sCR反饋系數(shù) Fv=z2z1+z2 當(dāng)w0=1RC, 則上式變?yōu)?Fv=13+j(ww0-wow)由此可得選頻網(wǎng)絡(luò)的幅頻響應(yīng) Fv=19+ww0-w0w(ww0-w0w) =-tan-1(ww0-w0w)3 由上知 當(dāng) w=w0=1RC時(shí) f=f0=12RC 知調(diào)R就可以調(diào)節(jié)正弦波的頻率,取C=10nf,在滿足涉及面要求的情況下由計(jì)算的人R的變化范圍為16Kohm-160Kohm。當(dāng)AV=1+R11R2稍大于或等于3時(shí)才能夠達(dá)到穩(wěn)定狀態(tài)所以R11 取5Kohm,R4取2.5

12、Kohm。 3.2.2方波電路的產(chǎn)生 圖6方波產(chǎn)生及穩(wěn)壓電路仿真波形:方波由過零比較器產(chǎn)生,在輸出端加穩(wěn)壓二極管會有很大電流,所以在二極管前面加較大的限流電阻然后將5V的電壓送入后一級的輸入端。3.2.3三角波電路的產(chǎn)生 圖7三角波產(chǎn)生電路仿真波形:三角波有方波通過積分電路產(chǎn)生,設(shè)輸入為v1,輸入為v0的他們之間的關(guān)系為 v0=1CR6v1R10R10+1WCdt這里積分時(shí)間為正弦波的周期選固定的周期值取一定的電容經(jīng)計(jì)算可以得出固定的輸出值;去前面滑動變阻器的阻止為50K,C3=330nf,R10=10K要是輸出電壓為5V,帶入上式得R6=2.5K3.3數(shù)字控制顯示電路3.3.1顯示電路 圖8

13、 顯示電路 顯示電路是通過脈沖控制74LS160計(jì)數(shù),把他接成8進(jìn)制給一次脈沖計(jì)數(shù)一次,然后在經(jīng)過譯碼器的驅(qū)動,在LED燈上顯示檔位。3.3.2開關(guān)電路開關(guān)電路由CD4051控制,由于multisim軟件庫中無CD4051,用74HC273代替,但無法仿真!4系統(tǒng)仿真總電路圖:圖9 仿真波形:圖中每格為2V( 1) (2)(3)(4) (5) (6) (7) (8)5電路安裝、調(diào)試與測試5.1電路安裝5.1.1元器件布局 三大模塊:第一模塊是RC振蕩電路產(chǎn)生正弦波,滯回電壓比較器產(chǎn)生方波,積分電路產(chǎn)生三角波;第二模塊為由cd4051構(gòu)成的開關(guān)電路;第三模塊為由74ls160、74ls148、及

14、非門構(gòu)成的數(shù)字信號發(fā)生部分。5.1.2 焊接對焊接點(diǎn)的基本要求:1、焊點(diǎn)要有足夠的機(jī)械強(qiáng)度,保證被焊件在受振動或沖擊時(shí)不致脫落、松動。不能用過多焊料堆積,這樣容易造成虛焊、焊點(diǎn)與焊點(diǎn)的短路。2、焊接可靠,具有良好導(dǎo)電性,必須防止虛焊。虛焊是指焊料與被焊件表面沒有形成合金結(jié)構(gòu)。只是簡單地依附在被焊金屬表面上。3、焊點(diǎn)表面要光滑、清潔,焊點(diǎn)表面應(yīng)有良好光澤,不應(yīng)有毛刺、空隙,無污垢,尤其是焊劑的有害殘留物質(zhì),要選擇合適的焊料與焊劑。手工焊接的基本操作方法1焊前準(zhǔn)備準(zhǔn)備好電烙鐵以及鑷子、剪刀、斜口鉗、尖嘴鉗、焊料、焊劑等工具,將電烙鐵及焊件搪錫,左手握焊料,右手握電烙鐵,保持隨時(shí)可焊狀態(tài)。2用烙鐵加

15、熱備焊件。3送入焊料,熔化適量焊料。4移開焊料。5當(dāng)焊料流動覆蓋焊接點(diǎn),迅速移開電烙鐵。掌握好焊接的溫度和時(shí)間。在焊接時(shí),要有足夠的熱量和溫度。如溫度過低,焊錫流動性差,很容易凝固,形成虛焊;如溫度過高,將使焊錫流淌,焊點(diǎn)不易存錫,焊劑分解速度加快,使金屬表面加速氧化,并導(dǎo)致印制電路板上的焊盤脫落。尤其在使用天然松香作助焊劑時(shí),錫焊溫度過高,很易氧化脫皮而產(chǎn)生炭化,造成虛焊。拆焊的方法:在調(diào)試、維修過程中,或由于焊接錯(cuò)誤對元器件進(jìn)行更換時(shí)就需拆焊。拆焊方法不當(dāng),往往會造成元器件的損壞、印制導(dǎo)線的斷裂或焊盤的脫落。良好的拆焊技術(shù),能保證調(diào)試、維修工作順利進(jìn)行,避免由于更換器件不得法而增加產(chǎn)品故障

16、率。 通過電路設(shè)計(jì)圖。我們就可以購買器件進(jìn)行安裝焊接,安裝時(shí)先將各模塊器件放上電路板進(jìn)行合理分配。分配好后,按照各模塊分別連接和檢驗(yàn),待各模塊連接好后再總體連在一起。焊接時(shí)要注意先接器件的引腳再接其他導(dǎo)線,準(zhǔn)確按照設(shè)計(jì)圖連接,千萬不能接錯(cuò),更不能短路。 焊接注意事項(xiàng):待鍍面應(yīng)該保持清潔。溫度要足夠。要使用有效的焊劑。要注意焊烙鐵的安全使用。謹(jǐn)防焊接短路。在焊接過程中要理清接線,必要時(shí)做標(biāo)記。 元器件引線成型注意事項(xiàng):所有元器件引線均不得從根部彎曲。彎曲一般不要成死角,圓弧半徑應(yīng)大于直徑的1倍到2倍。要盡量將有字符的元器件面置于容易觀察的位置。元器件插裝注意事項(xiàng):貼板與懸空插裝。安裝應(yīng)注意元器件

17、字符標(biāo)記方向一致,容易讀出。安裝時(shí)不要用手直接碰元器件引線和印刷板上銅箔。插裝后為了固定可對引線進(jìn)行彎折處理。焊接步驟:1,準(zhǔn)備施焊 2,加熱焊件 3,融化焊料移開焊錫 5,移開烙鐵焊前處理:減去多余引線,注意不要對焊點(diǎn)施加剪切力以外的其它力。檢查印制板上所有元器件引線焊點(diǎn),修補(bǔ)缺陷。根據(jù)工藝要求選擇清潔液清洗印制板。一般使用松香焊劑的 印刷版不用清洗。5.2電路調(diào)試 利用5V電源進(jìn)行調(diào)試,將電路板和電源箱正確接線,確保線沒接反,輸出端可與示波器相連進(jìn)行調(diào)試。調(diào)試是也按每個(gè)小模塊進(jìn)行檢測。若出現(xiàn)結(jié)果失真,依據(jù)理論知識調(diào)整參數(shù)即可;若連結(jié)果都不出現(xiàn),則要按模塊逐個(gè)檢查電路:首先檢查接線是否有誤,

18、再檢查是否有短路或虛焊的地方,可用萬用表或發(fā)光二極管(看二極管是否亮或亮度變化)進(jìn)行檢測。不斷調(diào)試,直到成功為止。調(diào)試中遇到的問題 : 正弦波產(chǎn)生電路失真:經(jīng)分析得知是反饋電阻大了,文氏振蕩電路要求振蕩穩(wěn)定時(shí)滿足 Rf ,但由于起振時(shí)要求 Rf ,所以即要使電路振蕩,又要保證在振蕩穩(wěn)定時(shí)Rf不會比2R大太多。電路焊接完成后的調(diào)試中,波形產(chǎn)生部分出現(xiàn)的問題主要是實(shí)際的結(jié)果并不能像仿真結(jié)果一樣完美,主要是器件的參數(shù)性能以及周圍環(huán)境問題導(dǎo)致,而且示波器上的顯示出現(xiàn)了跳躍以及毛刺等現(xiàn)象,有時(shí)還會伴有幅值以及頻率的無規(guī)則變化,這應(yīng)該主要是由于示波器本身工作精度以及電路焊接問題導(dǎo)致的干擾,特別是電容 、電

19、阻等器件的連接不牢固以及器件本身參數(shù)性能。 對于譯碼顯示電路部分,首先就是數(shù)碼管的上拉電阻問題,上面已經(jīng)闡述并且找到解決方法,其次就是在給74LS160脈沖信號時(shí),由于器件原因,所給脈沖信號質(zhì)量不是很好,所以導(dǎo)致數(shù)碼顯示會出現(xiàn)跳躍情況,比如,會出現(xiàn)從“1”跳躍到“4”,從“5”、跳躍到“2”等等。為了解決這個(gè)問題,所以此處還有待改進(jìn)。5.3系統(tǒng)功能及性能測試5.3.1測試方法設(shè)計(jì) 當(dāng)電源接通后,模擬電路信號發(fā)生部分開始工作產(chǎn)生三角波,通過數(shù)字電路按鍵開關(guān)給741s160一個(gè)觸發(fā)脈沖,產(chǎn)生輸出信號0000,驅(qū)動CD4051使I0通道導(dǎo)通此時(shí)輸出最小幅值的三角波,繼續(xù)加觸發(fā)脈沖輸出0001,驅(qū)動I

20、1通道導(dǎo)通當(dāng)741s160 輸出信號為1000時(shí),由非門返回輸入端使74LS160 清零。至此cd4051的八個(gè)通道個(gè)導(dǎo)通一次,輸出八個(gè)檔位幅值的三角波。5.3.2測試結(jié)果及分析經(jīng)測試在低檔位時(shí)三角波失真程度較大,可調(diào)節(jié)分壓電阻及積分電路電容進(jìn)行協(xié)調(diào)改進(jìn)。6.總結(jié)1、74ls160可以轉(zhuǎn)變?yōu)槭M(jìn)制以內(nèi)的任意進(jìn)制計(jì)數(shù)器。2、八路模擬開關(guān)可以只選擇其中的部分通道輸出需要的信號。 3、實(shí)踐永遠(yuǎn)是檢驗(yàn)真理的唯一標(biāo)準(zhǔn),這次課設(shè)充分證明了這一點(diǎn),通過實(shí)際動手操作,我不僅加深了對課本知識的理解更學(xué)會了知識的應(yīng)用。4、這次訓(xùn)練是我第一次系統(tǒng)的體會從動手查資料、設(shè)計(jì)電路到仿真再到購買器件焊接電路的全過程,感覺收

21、獲很大。同時(shí)也感受的到了隊(duì)友之間相互協(xié)作的默契,每當(dāng)問題快得到?jīng)Q時(shí)心情特別緊張,遇到問題時(shí)隊(duì)友一起探討,在我們地不斷努力、不斷探索、不斷改進(jìn)下終于將電路設(shè)計(jì)好并且調(diào)試成功,團(tuán)結(jié)就是力量,相互協(xié)作分工明確才能提高工作效率,而且我們組是比較早焊接和調(diào)試成功的,感覺很有成就感!其實(shí)在剛拿到訓(xùn)練設(shè)計(jì)題目時(shí)感覺很茫然,無從下手,只有設(shè)計(jì)要求,沒有資料、沒有工具材料,一切都是從零開始!我們只能分工進(jìn)行,上網(wǎng)查找資料以及查閱相關(guān)書籍并結(jié)合課本所學(xué)知識,最后進(jìn)行設(shè)計(jì)探討并且利用Multisim進(jìn)行仿真,如果沒有Multisim我們必定會在設(shè)計(jì)電路上繞上很多彎路。5、本次設(shè)計(jì)中采用電路模塊化理念,將本來非常復(fù)雜

22、的電路分解成一個(gè)個(gè)簡單的單元電路,然后設(shè)計(jì)單元電路,單元電路設(shè)計(jì)起來就簡單多了。最后將每個(gè)單元電路連接起來便成了一個(gè)復(fù)雜的,具有特定功能的電路。這種設(shè)計(jì)電路的思想在設(shè)計(jì)大型電路時(shí)尤為突出,每個(gè)工程師只需要負(fù)責(zé)自己的一塊電路,然后匯總就好了!本次訓(xùn)練遇到了不少困難,但在老師同學(xué)的幫助以及隊(duì)友的合作下,還是將問題逐步解決了!個(gè)人感覺收獲最大的就是學(xué)會了設(shè)計(jì)電路的方法和分析問題解決問題的思想!以及理論知識與實(shí)際結(jié)合的能力!7附錄原件清單:使用集成電路:LM348、CD4051、74LS48、74LS160、7406N使用電阻及阻值:滑動變阻器B100K300 9、1K、2K、3K、2.5K、5K、1

23、0K11、16.5K 使用電容及容量:10nf2、330nf使用穩(wěn)壓管及穩(wěn)壓幅值:IN4733 5.1V2; 使用數(shù)碼管:共陰極數(shù)碼管開關(guān):按鍵開關(guān)元件分析LM348 LM348是四運(yùn)放集成電路,它采用14腳雙列直插塑料封裝,外形如圖所示。它的內(nèi)部包含四組形式完全相同的運(yùn)算放大器,除電源共用外,四組運(yùn)放相互獨(dú)立。 每一組運(yùn)算放大器可用圖1所示的符號來表示,它有5個(gè)引出腳,其中“+”、“-”為兩個(gè)信號輸入端,“V+”、“V-”為正、負(fù)電源端,“Vo”為輸出端。兩個(gè)信號輸入端中,Vi-(-)為反相輸入端,表示運(yùn)放輸出端Vo的信號與該輸入端的相位相反;Vi+(+)為同相輸入端,表示運(yùn)放輸出端Vo的信

24、號與該輸入端的相位相同。 由于LM324四運(yùn)放電路具有電源電壓范圍寬,靜態(tài)功耗小,可單電源使用,價(jià)格低廉等優(yōu)點(diǎn),因此被廣泛應(yīng)用在各種電路中。 74LS16074LS160 是常用的4位二進(jìn)制計(jì)數(shù)器,在數(shù)字電路以及單片機(jī)系統(tǒng)中由非常廣泛的應(yīng)用. 從上圖可知,74LS160計(jì)數(shù)器具有清零信號/MR,使能信號CEP,CET,置數(shù)信號PE,時(shí)鐘信號CP和四個(gè)數(shù)據(jù)輸入端P0P3,四個(gè)數(shù)據(jù)輸出端Q0Q3,以及進(jìn)位輸出TC,且TC=Q0Q1Q2Q3CET。電路中由兩個(gè)與非門構(gòu)成單脈沖發(fā)生器,74LS160計(jì)數(shù)器對其產(chǎn)生的脈沖進(jìn)行計(jì)數(shù),計(jì)數(shù)結(jié)果送入字符譯碼器并驅(qū)動七段數(shù)碼管,使數(shù)碼管顯示單脈沖發(fā)生器產(chǎn)生了多少

25、個(gè)脈沖信號。74160為可預(yù)置的十進(jìn)制同步計(jì)數(shù)器,共有54/74160和54/74LS160兩種線路結(jié)構(gòu)型式,其主要電特性的典型值如下:型號FMAXPDCT54160/CT7416032MHz305mWCT54LS160/CT74LS16032MHz93mW160的清除端是異步的。當(dāng)清除端/MR為低電平時(shí),不管時(shí)鐘端CP狀態(tài)如何,即可完成清除功能。160的預(yù)置是同步的。當(dāng)置入控制器/PE為低電平時(shí),在CP上升沿作用下,輸出端Q0Q3與數(shù)據(jù)輸入端P0P3一致。對于54/74160,當(dāng)CP由低至高跳變或跳變前,如果計(jì)數(shù)控制端CEP、CET為高電平,則/PE應(yīng)避免由低至高電平的跳變,而54/74LS

26、160無此種限制。160的計(jì)數(shù)是同步的,靠CP同時(shí)加在四個(gè)觸發(fā)器上而實(shí)現(xiàn)的。當(dāng)CEP、CET均為高電平時(shí),在CP上升沿作用下Q0Q3同時(shí)變化,從而消除了異步計(jì)數(shù)器中出現(xiàn)的計(jì)數(shù)尖峰。對于54/74160,只有當(dāng)CP為高電平時(shí),CEP、CET才允許由高至低電平的跳變,而54/74LS160的CEP、CET跳變與CP無關(guān)。1.異步清零功能 只要(CR的非)有效電平到來,無論有無CP脈沖,輸出為“0”。在圖形符號中,CR的非的信號為CT=0,若接成七進(jìn)制計(jì)數(shù)器,這里要特別注意,控制清零端的信號不是N-1(6),而是N(7)狀態(tài)。其實(shí),很容易解釋,由于異步清零端信號一旦出現(xiàn)就立即生效,如剛出現(xiàn)0111,

27、就立即送到(CR的非)端,使?fàn)顟B(tài)變?yōu)?000。所以,清零信號是非常短暫的,僅是過度狀態(tài),不能成為計(jì)數(shù)的一個(gè)狀態(tài)。清零端是低電平有效。2.同步置數(shù)功能 當(dāng)(LD的非)為有效電平時(shí),計(jì)數(shù)功能被禁止,在CP脈沖上升沿作用下D0D3的數(shù)據(jù)被置入計(jì)數(shù)器并呈現(xiàn)在Q0Q3端。若接成七進(jìn)制計(jì)數(shù)器,控制置數(shù)端的信號是N(7)狀態(tài),如在D0D3置入0000,則在Q0Q3端呈現(xiàn)的數(shù)據(jù)就是0110。 此外,160有超前進(jìn)位功能。當(dāng)計(jì)數(shù)溢出時(shí),進(jìn)位輸出端(TC)輸出一個(gè)高電平脈沖,其寬度為Q0的高電平部分。在不外加門電路的情況下,可級聯(lián)成N位同步計(jì)數(shù)器。對于54/74LS160,在CP出現(xiàn)前,即使CEP、CET、/MR

28、發(fā)生變化,電路的功能也不受影響。管腳圖注釋:引出端符號:TC進(jìn)位輸出端CEP計(jì)數(shù)控制端Q0Q3輸出端CET計(jì)數(shù)控制端 74LS48譯碼器是一種具有“翻譯”功能的邏輯電路,這種電路能將輸入二進(jìn)制代碼的各種狀態(tài),按照其原意翻譯成對應(yīng)的輸出信號。有一些譯碼器設(shè)有一個(gè)和多個(gè)使能控制輸入端,又成為片選端,用來控制允許譯碼或禁止譯碼。,74LS48是一種3線8線譯碼器 ,三個(gè)輸入端C、B、A共有8種狀態(tài)組合(000111),可譯出8個(gè)輸出信號Y0Y7。這種譯碼器設(shè)有三個(gè)使能輸入端,當(dāng)G2A與G2B均為0,且G1為1時(shí),譯碼器處于工作狀態(tài),輸出低電平。當(dāng)譯碼器被禁止時(shí),輸出高電平。7448輸出高電平有效,用

29、以驅(qū)動共陰極顯示器。該集成顯示譯碼器設(shè)有多個(gè)輔助控制端,以增強(qiáng)器件的功能。7448的功能表如下所示,他有LT、RBI、BI/RBO三個(gè)輔助控制端,現(xiàn)簡要分別說明如下:(1)滅燈輸入BI/RBO。BI/RBO是特殊控制端,有時(shí)作為輸入,有時(shí)作為輸出。當(dāng)BI/RBO作為輸入使用,且BI = 0時(shí),無論其他輸入是什么電平,所有各段輸出a g均為0形熄滅。,所以字(2)試燈輸入LT。當(dāng)LT = 0時(shí),BI/RBO是輸出端,且RBO = 1什么狀態(tài),有各段出,此時(shí)無他輸入端是a g均為1顯示“8”的字形。該輸入端常用于檢查7448的好壞。本身及顯示器(3)動態(tài)滅零輸入RBI。當(dāng)LT = 1,RBI =

30、0且輸入代碼為0000時(shí),各段輸出a g低電平,這不顯示與之相應(yīng)的“ 均為0”字形,故稱“滅零”。利用LT = 1與RBI = 0位的“消隱”。此時(shí)可以實(shí)現(xiàn)某一BI/RBO是輸出端,且RBO= 0。(4)動態(tài)滅零輸出RBO。BI/RBO作為輸出使用時(shí),受控于LT和RBI。當(dāng)LT = 1且RBI =0,輸入代碼DCBA為0000時(shí),RBO = 0;若LT = 0或1且RBI = 1,則RBO= 1顯示多位數(shù)字時(shí),多個(gè)譯碼器之間的連接。該端主要用于從功能表還可以看出,對輸入代碼0000,譯碼條件是:LT和RBI同時(shí)等于1入代碼則要求,而對其他輸LT = 1,這時(shí)譯碼器各段a g輸出的電平由輸入BC

31、D字形的要求。碼確定的并且滿足顯示字形的要求。LED數(shù)碼管LED就是light emitting diode ,發(fā)光二極管的英文縮寫,簡稱LED。它是一種通過控制半導(dǎo)體發(fā)光二極管的顯示方式,用來顯示文字、圖形、圖像、動畫、行情、視頻、錄像信號等各種信息的顯示屏幕。LED顯示器結(jié)構(gòu) 基本的半導(dǎo)體數(shù)碼管是由七個(gè)條狀發(fā)光二極管芯片按圖12排列而成的??蓪?shí)現(xiàn)09的顯示。其具體結(jié)構(gòu)有“反射罩式”、“條形七段式”及“單片集成式多位數(shù)字式”等LED顯示器分類 (1)按字高分:筆畫顯示器字高最小有1mm(單片集成式多位數(shù)碼管字高一般在23mm)。其他類型筆畫顯示器最高可達(dá)12.7mm(0.5英寸)甚至達(dá)數(shù)百m

32、m。 LED 顯示器的參數(shù) 由于LED顯示器是以LED為基礎(chǔ)的,所以它的光、電特性及極限參數(shù)意義大部分與發(fā)光二極管的相同。但由于LED顯示器內(nèi)含多個(gè)發(fā)光二極管,所以需有如下特殊參數(shù): 1發(fā)光強(qiáng)度比 由于數(shù)碼管各段在同樣的驅(qū)動電壓時(shí),各段正向電流不相同,所以各段發(fā)光強(qiáng)度不同。所有段的發(fā)光強(qiáng)度值中最大值與最小值之比為發(fā)光強(qiáng)度比。比值可以在1.52.3間,最大不能超過2.5。 2脈沖正向電流 若筆畫顯示器每段典型正向直流工作電流為IF,則在脈沖下,正向電流可以遠(yuǎn)大于IF。脈沖占空比越小,脈沖正向電流可以越大。(2)按顏色分有紅、橙、黃、綠等數(shù)種。 (3)按結(jié)構(gòu)分,有反射罩式、單條七段式及單片集成式。

33、 (4)從各發(fā)光段電極連接方式分有共陽極和共陰極兩種。半導(dǎo)體數(shù)碼管的七個(gè)發(fā)光段是七個(gè)條狀的發(fā)光二極管,發(fā)光二極管使用的材料和普通二極管不同,有林砷化鎵,磷化鎵,砷化鎵等幾種,而且半導(dǎo)體中的雜質(zhì)濃度很高當(dāng)外加正向電壓時(shí),大量的電子和空穴在擴(kuò)散過程中復(fù)合,其中一部分電子從導(dǎo)帶月牽制導(dǎo)價(jià)帶,多余的能量以光的形式釋放出來,變發(fā)出一定長度的可見光。為表示數(shù)字,七個(gè)二極管排成“日”字形,如圖4.21(a)所示。通過不同發(fā)光段的組合,顯示出09十進(jìn)制數(shù)字,如圖4.21(b)所示。這種數(shù)碼管的內(nèi)部接法有兩種:一種是將7個(gè)發(fā)光二極管的樣機(jī)接在一起,稱為共陽極顯示器,如圖4.22(a)所示。使用時(shí)將公共陽極接高電

34、平,當(dāng)二極管的陰極時(shí)低電平時(shí),則該段亮,;另一種是7個(gè)發(fā)光二極管共用一個(gè)陰極,成為共陰極顯示器,如圖4.22(b)所示,使用時(shí)將共陰極接低電平,當(dāng)二極管陽極接高電平時(shí),則該段亮。根據(jù)譯碼器的規(guī)格可知,在本電路中,使用的時(shí)七段共陰極數(shù)碼管。CD4051 CD4051是單八通道數(shù)字控制模擬開關(guān)有三個(gè)二進(jìn)制控制輸入端A1、A2、A3和INH輸入,具有低導(dǎo)通阻抗和很低的截止漏電流。幅值為4.520V的數(shù)字信號可控制峰峰值至20V的模擬信號。例如,若VDD=+5V,VSS=0,VEE=-13.5V,則0-5V的數(shù)字信號可控制-13.54.5V的模擬信號。這些開關(guān)電路在整個(gè)VDD-VSS和VDD-VEE電

35、源范圍內(nèi)具有極低的靜態(tài)功耗,與控制信號的邏輯狀態(tài)無關(guān)。當(dāng)INH=1時(shí),所有的通道截止。當(dāng)INH=0時(shí),電路具有選擇功能,實(shí)現(xiàn)線路的導(dǎo)通。CC4051 提供了16 引線多層陶瓷雙列直插(D)、熔封陶瓷雙列直插(J)、塑料雙列直插(P)和陶瓷片狀載體(C)4 種封裝形式。CD4051使用注意事項(xiàng):使用單電源時(shí),CD4051的VEE可以和GND相連。強(qiáng)烈建議A,B,C三路片選端要加上拉電阻。CD4051的公共輸出端不要加濾波電容(并聯(lián)到地),否則不同通道轉(zhuǎn)換后的電壓經(jīng)電容沖放電后會引起極大的誤差。禁止輸出端(INH)為高電平時(shí),所有輸出切斷,所以在應(yīng)用時(shí)此端接地。作音頻信號切換時(shí),最好在輸入輸出端串

36、入隔直電容。參文獻(xiàn)考.1 康華光 電子技術(shù)基礎(chǔ).模擬部分(第五版) 北京:高等教育出版社,1998.82康華光 電子技術(shù)基礎(chǔ).模擬部分(第五版) 北京:高等教育出版社,1998.8 3 畢滿清 電子技術(shù)試驗(yàn)與課程設(shè)計(jì)(第三版)北京:機(jī)械工業(yè)出版社,2005.74 謝自美電子線路設(shè)計(jì).實(shí)驗(yàn).測試(第二版)武漢:華中科技大學(xué)出版社,2000.75 袁桂慈 電工電子技術(shù)實(shí)踐教程 北京:機(jī)械工業(yè)出版社,2007.6其他:1、電源電路實(shí)用設(shè)計(jì)手冊 段九洲 主編 2002年遼寧科學(xué)技術(shù)出版社2、模擬電子技術(shù)基礎(chǔ)實(shí)驗(yàn)與課程設(shè)計(jì) 李萬臣 主編 2001年哈爾濱工程大學(xué)出版社3、 精誠電子設(shè)計(jì) 網(wǎng)站 凌陽大學(xué)計(jì)劃 網(wǎng)站 http:/www.ele- 中國電子制作網(wǎng) 網(wǎng)站 百度 網(wǎng)站

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!