計(jì)算機(jī)組成原理第1011章

上傳人:san****019 文檔編號(hào):20602034 上傳時(shí)間:2021-04-02 格式:PPT 頁數(shù):102 大小:989.60KB
收藏 版權(quán)申訴 舉報(bào) 下載
計(jì)算機(jī)組成原理第1011章_第1頁
第1頁 / 共102頁
計(jì)算機(jī)組成原理第1011章_第2頁
第2頁 / 共102頁
計(jì)算機(jī)組成原理第1011章_第3頁
第3頁 / 共102頁

下載文檔到電腦,查找使用更方便

14.9 積分

下載資源

還剩頁未讀,繼續(xù)閱讀

資源描述:

《計(jì)算機(jī)組成原理第1011章》由會(huì)員分享,可在線閱讀,更多相關(guān)《計(jì)算機(jī)組成原理第1011章(102頁珍藏版)》請(qǐng)?jiān)谘b配圖網(wǎng)上搜索。

1、 計(jì)算機(jī)組成原理教學(xué)課件 王 誠 宋佳興 清華大學(xué)計(jì)算機(jī)系 2012年 1月 第 10章 輸入 / 輸出設(shè)備 第 11章 輸入 / 輸出系統(tǒng) 基本輸入 / 輸出設(shè)備 計(jì)算機(jī)總線和接口 常用的輸入 / 輸出方式 計(jì)算機(jī)基本組成 Datapath: 即運(yùn)算器,完成 算術(shù)和邏輯運(yùn)算,通常包括 其中的寄存器。 Control:CPU的重要組成部 分,它根據(jù)程序指令來指揮 datapath, memory以及 I/O 運(yùn)行,共同完成程序功能。 Memory: 是存放運(yùn)行時(shí)程 序及其所需要的數(shù)據(jù)的場所。 Input: 信息進(jìn)入計(jì)算機(jī)的設(shè) 備,如鍵盤、鼠標(biāo)等。 Output: 將計(jì)算結(jié)果展示給 用戶的設(shè)備,

2、如顯示器、打 印機(jī)等。 Computer Memory Input Output Datapath Control 1、 輸入輸出設(shè)備概述 計(jì)算機(jī)輸入輸出設(shè)備 概念 :具有一定操作功能的比較完整和相對(duì) 獨(dú)立的精密機(jī)械電子裝置; 功能 :進(jìn)行人機(jī)交互,完成輸入輸出功能; 特點(diǎn) :種類繁多,功能多樣,組成與運(yùn)行原 理各不相同; 發(fā)展 :智能化、高可靠性、小型廉價(jià)。 輸入輸出設(shè)備 2、 常用的輸入輸出設(shè)備 輸入設(shè)備 輸出設(shè)備 鍵盤 圖形輸入設(shè)備:鼠標(biāo)器,圖形板,操縱桿,光筆 圖象輸入設(shè)備:掃描儀,傳真機(jī),攝像機(jī),數(shù)碼相機(jī) 條形碼閱讀器 語音與文字輸入 顯示器(字符、圖形、圖像) 打印機(jī)(針式、噴墨、

3、激光) 繪圖儀 語音輸出 點(diǎn)陣式設(shè)備運(yùn)行原理 把 字形 、 圖形 、 圖像 等信息以 不同的點(diǎn)陣 布局 方式表現(xiàn)出來,在計(jì)算機(jī)顯示器屏幕上, 這些被顯示的內(nèi)容,是以可見光形式表現(xiàn)出來 的;而在打印紙上,通常是以“印刷”(染色) 的效果表現(xiàn)出來的。 顯示器或者打印機(jī)等設(shè)備它們共同的特點(diǎn) 是,要表示的信息,最終要以平面上的各種可 見的“形狀”體現(xiàn)出來,而這些“形狀”原理 上又都是以許多 斷續(xù)的點(diǎn)的不同布局 表示出來 的。 點(diǎn)陣式表示舉例 以十六進(jìn)制數(shù)的形式把平面上點(diǎn)陣布局寫出來 點(diǎn)陣式設(shè)備需要解決的問題 點(diǎn)陣布局規(guī)律 確定形狀的對(duì)象(保存對(duì)象的布局) 無確定規(guī)律對(duì)象(保存所有點(diǎn)信息) 點(diǎn)陣的密度

4、更密的點(diǎn)陣可以把要表示的形狀呈現(xiàn)得更精細(xì)準(zhǔn)確 表示同樣大小的形狀,點(diǎn)陣密則所用數(shù)據(jù)數(shù)量更多 點(diǎn)陣越密則對(duì)輸入輸出設(shè)備的處理精度要求也越高 點(diǎn)陣中點(diǎn)的屬性 二值表示( 0、 1表示點(diǎn)的有、無) 單色表示(灰度級(jí)表示不同亮度) 彩色表示( RGB三基色混和表示彩色) 鍵盤的運(yùn)行原理 鍵盤功能 計(jì)算機(jī)的鍵盤是通過手工輸入字符,用于向計(jì)算機(jī) 送入操作命令、源程序語句、運(yùn)行程序所使用的數(shù) 據(jù)等內(nèi)容的輸入設(shè)備。 基本組成 機(jī)械部分:按鍵(導(dǎo)電件)彈簧金屬件(由導(dǎo) 電件控制實(shí)現(xiàn)電信號(hào)連通與斷開) 電子線路部分:識(shí)別按鍵,給出編碼;通過串行接 口把編碼送給主機(jī)。由鍵盤上專門的芯片完成。 鍵盤接口 串口、 US

5、B接口 鍵盤的運(yùn)行原理 識(shí)別閉合鍵的具體實(shí)現(xiàn) : 是用行列掃描的方法,即把每個(gè)鍵分配在一個(gè) m 列 * n 行矩陣的一個(gè)交叉點(diǎn)上,通過并行接口向 n 行依次送出僅有一行為 0、其余各行均為 1 的值, 再用并行接口讀入 m 列上的取值。當(dāng)該值不為 FFH (全 1 碼)時(shí),表明有鍵按下,若該值僅含 一位零,表明取值為 0 的行、列的交叉點(diǎn)的鍵被 按下,用一個(gè)對(duì)照表即可得到相應(yīng)鍵的編碼。 尚需解決如下的一些問題 : 抖動(dòng):按下和松開按鍵時(shí)按鍵在接通和斷開位置 之間跳動(dòng)幾次才能穩(wěn)定下來。 重鍵:多鍵同時(shí)被按下,如何處理。 1 1 0 1 . 并行接口 并 行 接 口 +5V 10K 0列 1列 m

6、-1列 0行 1行 n-1行 鍵 盤 鍵 . . . . . 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 . 0 1 1 A鍵 并行接口送來 1 0 1 的 n 位數(shù)值到二極管的負(fù)極, 并行 接口接收 鍵盤線路 m 列送出的 m 位數(shù)據(jù)。當(dāng) A鍵 按下去后, 5V 電源送出經(jīng)電阻、 A鍵 、二極管到 0 信號(hào)處的電流,從而在 第 2列產(chǎn)生 0 電平(紅線所示) , 其他各列都給出高電平(黑線 所示),故 并行接口接收到的是 1 1 0 1 這樣的 m 位數(shù)據(jù)。 1 1 0 . 。 1 1 0 1 . 二極管 鼠標(biāo)的運(yùn)行原理 鼠標(biāo)的產(chǎn)生 圖形界面的出現(xiàn),需要鼠

7、標(biāo)來進(jìn)行拖動(dòng)等操作 鼠標(biāo)的功能 根據(jù)鼠標(biāo)的移動(dòng),在屏幕上移動(dòng)位置 選中某個(gè)對(duì)象,進(jìn)而執(zhí)行某些操作 鼠標(biāo)的種類 機(jī)械式鼠標(biāo) 光電式鼠標(biāo) 鼠標(biāo)的接口 串口、 USB接口 機(jī)械式鼠標(biāo) 鼠標(biāo)內(nèi)部有一個(gè)橡膠球,橡膠球緊貼著兩個(gè) 互相垂直的軸( X、 Y軸),每個(gè)軸上有一個(gè)光 柵輪,光柵輪兩邊對(duì)應(yīng)著有發(fā)光二極管和光敏三 極管。 鼠標(biāo)在移動(dòng)的時(shí)候,橡膠球便帶動(dòng)兩個(gè)軸旋 轉(zhuǎn),同時(shí)光柵輪也就開始旋轉(zhuǎn),光敏三極管在接 收發(fā)光二極管發(fā)出的光時(shí)被光柵輪間斷地阻擋, 從而產(chǎn)生脈沖信號(hào),通過鼠標(biāo)內(nèi)部的芯片處理之 后被 CPU接受。 脈沖信號(hào)的頻率和數(shù)量,經(jīng)過 CPU計(jì)算后 則表示為屏幕上的距離和速度。 光電式鼠標(biāo) 光電鼠

8、標(biāo) 的工作原理是,在光電鼠標(biāo)內(nèi)部有 一個(gè)發(fā)光二極管,二極管發(fā)光照亮鼠標(biāo)底部的表 面。同時(shí)表面會(huì)反射回一部分光線,反射光通過 一組光學(xué)透鏡后,在一個(gè)微成像器內(nèi)成像。 當(dāng)鼠標(biāo)移動(dòng)的時(shí)候,移動(dòng)軌跡便會(huì)被記錄為 一組高速拍攝的連貫圖象,鼠標(biāo)內(nèi)部有一塊專用 的圖象分析芯片( DSP),對(duì)移動(dòng)軌跡上攝取的 一系列圖象進(jìn)行分析處理,通過對(duì)這些圖象上特 征點(diǎn)位置的變化進(jìn)行分析,來判斷鼠標(biāo)的移動(dòng)方 向和移動(dòng)距離,從而完成光標(biāo)的定位。 常用輸出設(shè)備 顯示器 陰極射線管顯示器 液晶顯示器 等離子顯示器 打印機(jī) 針式打印機(jī) 噴墨打印機(jī) 激光打印機(jī) 陰極射線管顯示器 成像原理 電子束撞擊熒光板上的熒光粉 受撞擊的熒光粉

9、發(fā)光產(chǎn)生亮點(diǎn) 基本組成 電子槍 顯示屏 偏轉(zhuǎn)控制裝置 燈絲 陰極 聚焦極 石墨層 熒光屏 顯示屏 陽極帽 偏轉(zhuǎn)線圈 柵極 陽極 電子槍 電子束 陰極射線管的構(gòu)成和工作原理 一些相關(guān)概念 分辨率 沿水平和垂直兩個(gè)方向把屏幕分成許多小的區(qū)域,一個(gè)小 的區(qū)域?qū)?yīng)一個(gè)發(fā)光點(diǎn)(稱為象素),一個(gè)屏幕上所提供的全 部象素的數(shù)目被稱為分辨率 掃描 電子束在顯示屏上按某種軌跡運(yùn)動(dòng)被稱為掃描,控制電子 束掃描軌跡的電路被稱為掃描控制邏輯部件 , 有 2種掃描方式 : 光柵掃描 :電子束從左到右,從上到下掃描整個(gè)屏幕( 隔 行掃描 和 逐行掃描 ) 隨機(jī)掃描 :電子束只掃描需要顯示的點(diǎn),而不是整個(gè)屏幕 刷新 余輝時(shí)

10、間:電子束打在熒光粉上發(fā)出的光的所持續(xù)時(shí)間 為了得到穩(wěn)定的圖象,需要重復(fù)掃描整個(gè)屏幕(每秒 50場) 幀存儲(chǔ)器:為了重復(fù)掃描,需要存儲(chǔ)屏幕字符或者圖象信息 字符顯示的一般原理 為有效地提供屏幕上要顯示的內(nèi)容,字符顯示器內(nèi) 有一個(gè) 顯示存儲(chǔ)器 VRAM,用于保存將顯示在整個(gè)屏幕 的全部字符,顯示存儲(chǔ)器中保存的是這些字符的 ASCII 碼和顯示屬性(即字符的顏色、亮度、是否顯示等)。 VRAM 是一個(gè)可讀寫的存儲(chǔ)器,被顯示的字符將由計(jì) 算機(jī)主機(jī)以兩個(gè)字節(jié)( ASCII碼 和 顯示屬性 )的方式提 供并寫進(jìn)該存儲(chǔ)器中,由顯示器的控制邏輯按需要從中 讀出準(zhǔn)備顯示的一個(gè)字符的 ASCII碼的字節(jié)值和顯示

11、屬 性的字節(jié)值。 字符發(fā)生器 ,就是用于保存每個(gè)可顯示字符字形的 點(diǎn)陣數(shù)據(jù)的邏輯部件,通常是一個(gè)只讀存儲(chǔ)器 ROM, 如果每個(gè)字符的字形用 7(橫向) 9(縱向)的點(diǎn)陣表示,則 每個(gè)字符字形的點(diǎn)陣數(shù)據(jù)要占用 9個(gè)存儲(chǔ)器字節(jié)(橫向的 7個(gè)點(diǎn) 的布局用一個(gè)字節(jié)表示)。 字形的產(chǎn)生過程 0 0 0 0 0 0 0 1 0 0 1 0 0 1 0 0 0 1 0 1 0 1 1 0 1 1 1 1 0 1 1 1 0 0 1 1 10H 28H 44H 82H 82H FEH 82H 82H 00H 字符 發(fā)生器 ( R O M ) ASCII 字符代碼 8 4 RA3 - RA0 (a) “ A ”

12、的點(diǎn)陣表示 (b)字符發(fā)生器的結(jié)構(gòu) (來自 VRAM) (來自光柵地址 計(jì)數(shù)器輸出 ) RA3 -RA0 D7 D0 D7 D0 ASCII代碼 (41H) 指向這個(gè)字形 移位 寄存器 S L 字符 發(fā)生器 ( ROM) 加載控制 水平消隱 行間消隱 垂直 消隱 水平同步電路 垂直同步電路 點(diǎn)振蕩器 點(diǎn)計(jì)數(shù)器 水平地址計(jì)數(shù)器 光柵地址計(jì)數(shù)器 垂直地址計(jì)數(shù)器 定時(shí)控制 電路 垂直同步信號(hào) 水平同步信號(hào) 字符時(shí)鐘 代碼 控制 OSC VRAM 1 9 1 80+18 1 9+5 1 25+1 視頻 信號(hào) RA3 - RA0 字符顯示的一般原理 CRT圖形圖像顯示器 大的 VRAM 存儲(chǔ)點(diǎn)陣屬性 分

13、辨率: 1024*768,真彩色, 1024*768*3Byte=2.3MB 高速總線 50場 /秒,帶寬為 2.3*50MB/s=115MB/s 需要連接 PCI總線 專用接口 分辨率更高的圖形設(shè)備將采用專用接口 液晶顯示器 顯示原理 利用液晶的光學(xué)特性 平板后面設(shè)置光源 通過液晶改變透射光的偏振性(從水平到垂直) 電場控制 特點(diǎn) 平板顯示,不需要高壓電,移動(dòng)方便 無輻射 價(jià)格較高 光線扭轉(zhuǎn)的光線 液晶分子 電極 ( b) 不透光狀態(tài)( a ) 透光狀態(tài) ( 偏震光被扭轉(zhuǎn) 90 度 ) 偏光鏡 橫向排列 垂 直 排 列 縱向排列 偏光鏡 電極 玻璃 面板玻璃 液晶顯示器 等離子顯示器 成像原

14、理 利用惰性氣體在一定電壓作用下產(chǎn)生氣體放電 的特性 產(chǎn)生紫外線,紫外線激發(fā)熒光粉發(fā)光 在玻璃板之間隔開成象素,每個(gè)象素點(diǎn)內(nèi)有惰 性氣體和三色熒光粉,用電極控制 特點(diǎn) 易于實(shí)現(xiàn)大畫面顯示 全色顯示,色純度與 CRT相當(dāng) 視角達(dá) 160度 壽命長 功耗大、成本高。 打印機(jī) 計(jì)算機(jī)最基本的輸出形式之一 ,可將打印在紙上 的信息長期保存。打印設(shè)備種類繁多,性能各異,結(jié) 構(gòu)上差別也較大,按印字方式分類: 擊打式 :通過印字的機(jī)械裝置撞擊色帶以便把字 形染印在紙上,速度慢,噪聲大,打印質(zhì)量一般。 點(diǎn)陣針式、字模式 非擊打式 :通過靜電、噴墨等非機(jī)械撞擊方式完 成在紙上著色,打印速度快,噪聲低,印字質(zhì)量

15、高 噴墨、激光、熱轉(zhuǎn)印 打印設(shè)備與計(jì)算機(jī)主機(jī)的連接方式 串行接口、并行接口、 USB接口 針式打印機(jī) 打印原理 用鋼針或鎢錸合金針撞擊色帶,將色帶的顏色印到打印紙 上,完成一個(gè)點(diǎn)的輸出,完成全部點(diǎn)陣后輸出完畢 基本組成 打印頭、輸紙機(jī)構(gòu)、色帶機(jī)構(gòu)及打印控制器 打印控制器包括字符緩沖存儲(chǔ)器、字型發(fā)生器、時(shí)序控制 電路和接口電路等部分 輸出過程 字車將打印頭橫向移動(dòng)到打印起始位置 取出輸出對(duì)象點(diǎn)陣的第一列,驅(qū)動(dòng)打印針撞擊色帶 字車移動(dòng)一小格,輸出下一列,直到本行輸出完畢 回車 輸紙機(jī)構(gòu)進(jìn)紙 輸出下一行 針式打印機(jī)構(gòu)造 打印紙 導(dǎo)軌 打印針 永磁鐵 鐵芯 銜鐵 線圈 色帶 色帶保護(hù)片 滾筒 針式打印

16、機(jī)打印字符“ E”的過程 針式打印機(jī)和 CRT字符顯示器 工作原理比較 CRT字符顯示器使用電子束撞擊熒光粉產(chǎn)生發(fā)光 點(diǎn),針式打印機(jī)是用打印針撞擊色帶產(chǎn)生印染點(diǎn); CRT字符顯示器以整屏信息為單位連續(xù)掃描以維 持穩(wěn)定的顯示畫面,故它需要有顯示存儲(chǔ)器 VRAM, 而針式打印機(jī)接收到要打印的一縱列點(diǎn)陣信息并完成 打印之后,這些點(diǎn)陣信息就不再有用了; 光柵掃描的 CRT字符顯示器,電子束在整個(gè)屏幕 上按逐個(gè)橫行的掃描方式重復(fù),掃描完多個(gè)橫行才完 整顯示出一行字符,針式打印機(jī)則是按逐列打印方式 依此打印出字符的點(diǎn)陣列,幾次后打印出一個(gè)完整字 符,打印完一行回車后開始打印下一行。 激光打印機(jī)打印原理 激

17、光技術(shù) 和 電子照相技術(shù) 相結(jié)合的產(chǎn)物,它由走 紙機(jī)構(gòu)、激光掃描系統(tǒng)、電子照相部分和打印機(jī)控制 器等幾部分組成。 激光掃描系統(tǒng) 的功能,是控制激光束能掃描到光 導(dǎo)鼓柱面的任何位置,它由激光器,偏轉(zhuǎn)調(diào)制器,掃 描器和光路系統(tǒng)組成。 電子照相部分 的核心部件是字形鼓,又稱光導(dǎo)鼓, 這是一個(gè)圓柱型的物體,柱面高度光潔,鍍有一層由 硒 碲合金組成的具有良好光導(dǎo)特性(光線照射后電 阻率降為原來的 1/100到 1/1000)的材料,用于完成 對(duì)打印內(nèi)容的照相、顯影和轉(zhuǎn)印。 激光打印機(jī)輸出過程 準(zhǔn)備階段 :電暈放電裝置使光導(dǎo)鼓表面充電,對(duì)光導(dǎo) 鼓表面均勻的充上一層正電荷; 照相階段 :激光束使光導(dǎo)鼓表面被

18、照射部分放電,未 照射到部分的帶電情況不變; 顯影階段 :光導(dǎo)鼓表面帶電部分吸附墨粉,從而形成 由墨粉顯示出來的字形; 轉(zhuǎn)印階段 :光導(dǎo)鼓表面墨粉轉(zhuǎn)移到打印紙上; 定影階段 :通過紅外光加熱或輻射加熱辦法,高溫將 墨粉固定在打印紙上; 清除階段 :清掃器清除光導(dǎo)鼓表面剩余墨粉,消電燈 消除光導(dǎo)鼓上殘存電荷。 激 光 部 分 打印機(jī) 控制部分 光導(dǎo)鼓 顯象 部分 . 定形部分 加熱輥 預(yù) 熱 板 輸 紙 用 輥 轉(zhuǎn)寫 清掃輥 帶 電 器 印寫部分 清掃部分 轉(zhuǎn)寫部分 接紙 部分 紙 激光 噴墨打印機(jī) 打印原理 通過把很小的墨水滴利用噴嘴噴射到打印紙上形 成打印點(diǎn)來完成打印輸出功能。 主要問題集中

19、到如何提供出很小的墨水滴,又如 何加速墨水滴的噴射速度,如何準(zhǔn)確控制墨水滴 落到打印紙上的位置,如何處理墨水的循環(huán)流動(dòng) 和過濾。 輸出過程 壓電陶瓷受振蕩電脈沖激勵(lì)產(chǎn)生電致伸縮,噴射 出墨滴 充電電極給墨滴充電,電量多少?zèng)Q定了偏轉(zhuǎn)距離 利用電場控制墨滴的垂直偏轉(zhuǎn)方向,噴墨頭移動(dòng) 控制墨滴水平方向 墨水槽 噴墨頭 過濾器 收集槽 高壓 電源 振蕩 發(fā)生器 字形 發(fā)生器 打 印 紙 充電電極 壓電陶瓷 偏轉(zhuǎn)電極 墨水泵 回收器 墨 滴 偏 轉(zhuǎn) 方 向 噴墨頭移動(dòng)方向 組成與打印原理 字符打印過程 (充了電的墨滴偏轉(zhuǎn)射向打印紙, 不帶電的墨滴射入回收器被回收) ( 以 7*5 點(diǎn)陣為例 ) 3、計(jì)算

20、機(jī)輸入輸出系統(tǒng)概述 輸入輸出系統(tǒng)的功能與組成 連通計(jì)算機(jī)的各個(gè)功能部件和設(shè)備,并在它們 之間實(shí)現(xiàn)數(shù)據(jù)交換。 硬件部分主要由計(jì)算機(jī)總線和輸入輸出接口兩 部分組成,軟件方面需要操作系統(tǒng)的支持。 輸入輸出系統(tǒng)系統(tǒng)復(fù)雜多變 眾多的 CPU系列和型號(hào):運(yùn)行速度、處理功能、 接口邏輯等各不相同; 更多的外圍設(shè)備:運(yùn)行原理、提供的功能、讀 寫速度、接口邏輯千差萬別; 不同的用戶、不同的應(yīng)用場合提出眾多不同的 使用要求,無法使用一種方式、一套方法全面 解決問題。 4、輸入輸出接口基本功能 提供對(duì)主機(jī)識(shí)別(指定、找到)要用的 I/O設(shè) 備的支持,為每個(gè)設(shè)備規(guī)定幾個(gè)地址碼或者編號(hào)。 建立主機(jī)和設(shè)備之間的控制與通信

21、機(jī)制,接收 處理器(主設(shè)備)的命令,并提交給外部設(shè)備,同 時(shí),為主設(shè)備提供外部設(shè)備的狀態(tài)。 提供主機(jī)和設(shè)備之間信息交換過程中的數(shù)據(jù)緩 沖機(jī)構(gòu),如輸入數(shù)據(jù)緩沖寄存器和輸出數(shù)據(jù)緩沖寄 存器等。 提供主機(jī)和設(shè)備之間信息交換過程中的其他特 別需求支持,屏蔽外部設(shè)備的差異。 輸入輸出接口結(jié)構(gòu) 命令寄存器 和命令譯碼器 設(shè)備選擇 電路 設(shè)備狀態(tài) 標(biāo)記 數(shù)據(jù)緩沖 寄存器 DBR 控 制 邏 輯 電 路 I/O接口 外 部 設(shè) 備 數(shù)據(jù)線 命令 狀態(tài) 數(shù)據(jù)線 命令線 狀態(tài)線 CPU 地址線 通用可編程接口 通用性 能有多種用法與輸入輸出功能,能適用于多種外 設(shè) 可編程 能通過指令指定接口的功能和運(yùn)行控制參數(shù)

22、接口內(nèi)部組成 設(shè)備識(shí)別電路:對(duì)指令中給出的 I/O端口地址譯碼 命令寄存器:存 CPU發(fā)送的控制命令 狀態(tài)寄存器:設(shè)備設(shè)置其值, CPU讀取 數(shù)據(jù)緩沖寄存器: I/O數(shù)據(jù)緩沖,解決速度匹配 中斷電路:處理中斷請(qǐng)求、屏蔽和判優(yōu)等 其他電路:電平轉(zhuǎn)換及串行 /并行轉(zhuǎn)換電路等 常用接口概述 串行接口 只需要一對(duì)信號(hào)線來傳輸數(shù)據(jù),主要用于傳輸速度不高,傳 輸距離較長的場合。典型芯片 Intel 8251(詳細(xì)介紹見教材 ) 目前幾乎所有的計(jì)算機(jī)都采用 EIA RS-232C作為串行接口標(biāo) 準(zhǔn),包括按位串行傳輸?shù)碾姎夂蜋C(jī)械方面的規(guī)定。 RS-232C接 口有 25根線,數(shù)據(jù)傳輸率在 50、 75至 19

23、200bps之間 對(duì)串行接口的使用是通過對(duì)其命令寄存器和狀態(tài)寄存器進(jìn)行 設(shè)置工作的。工作時(shí)先對(duì)接口命令寄存器發(fā)命令,設(shè)置工作方 式;然后再發(fā)命令使之開始工作;工作期間通過狀態(tài)寄存器了 解串口工作狀態(tài)。 并行接口 串行接口按位傳送數(shù)據(jù),速度慢,而且主機(jī)是按字或者字節(jié) 處理數(shù)據(jù),使用串行接口需要進(jìn)行并串的轉(zhuǎn)換。對(duì)速度較高的 設(shè)備,采用并行數(shù)據(jù)傳輸方式比較合適。典型芯片 Intel 8255 8251 的基本功能 可用于同步或異步傳送 同步傳送時(shí) ,每字可為 bits 可用內(nèi)或外同步,能自動(dòng)插入同步字符 異步傳送時(shí) ,每字可為 bits, 接收和發(fā)送時(shí)鐘可為 1,16 或 64 倍的波特率 可產(chǎn)生中

24、止字符并自動(dòng)檢測(cè)和處理。 可用、 1.或位停止位。能檢測(cè)假啟動(dòng) 完全雙工,雙緩沖的發(fā)送器和接受器 具有檢測(cè)奇偶錯(cuò),數(shù)據(jù)丟失錯(cuò)和幀錯(cuò)的能力 全部入出信號(hào)為 TTL 電平 D7D0(接外部數(shù)據(jù)總線) 狀態(tài) 緩沖器 接受數(shù)據(jù) 緩沖器 發(fā)送數(shù)據(jù)命令 緩沖器 讀寫 控制邏輯 調(diào)制解 調(diào)器控制 發(fā)送器 P-S 發(fā)送控制 接收控制 接收器 S-P 緩 沖 器 x x TxC TxE RxRDY /RxC RESET CLK C/ D /RD /WR /CS /DTR /DSR /RTS /CTS TxRDY 串行異步傳送中的有關(guān)概念 串行: 異步、同步: 單工,半雙工,全雙工: 停止位: 數(shù)據(jù)位: 起始位:

25、 奇偶校驗(yàn): 傳送的波特率: 波特率因子: 數(shù)據(jù)采樣: 串口 CPU 終端 (串口 ) . 數(shù)據(jù)位,如 8 位 1位 1、 1.5、 2位 串 行 并 行 標(biāo) 識(shí) 態(tài) 一次完整的數(shù)據(jù)傳送時(shí)間 CPU 串口 串口 CPU D7 D6 D5 D4 D3 D2 D1 D0 同步 ? Y( D1D0 = 00 ) N ( D1 D0 0 0 ) D6: 外同步檢測(cè) 1 外同步 SYNDET為輸入 0 內(nèi)同步 SYNDET為輸出 D7: 同步字符 1 單同步字符 0 雙同步字符 規(guī)定幀控制 00 無效 01 1個(gè) 停止位 10 1. 5個(gè) 停止位 11 2個(gè) 停止位 確定字符長度 00 5bits 01

26、 6bits 10 7bits 11 8bits TxC , RxC 波特率因子 00 同步方式 01 異步 1倍 10 異步 16倍 11 異步 64倍 對(duì)奇偶校驗(yàn)的規(guī)定 X0 不校驗(yàn) 11 偶校驗(yàn) 01 奇校驗(yàn) 方式命令字的格式 EH IR ER SBBK RxE DTR TxEN RTS D7 D6 D5 D4 D3 D2 D1 D0 工作命令字的格式 發(fā) 送 器 使 能 信 號(hào) , 1 有 效 接 收 器 使 能 信 號(hào) , 1 有 效 數(shù) 據(jù) 終 端 就 緒 , 使 出 為 低 /DTR 使 三 個(gè) 出 錯(cuò) 標(biāo) 志 位 復(fù) 位 發(fā) 包 信 號(hào) BREAK 請(qǐng) 求 發(fā) 送 信 號(hào) ,

27、使 出 為 低 /RTS 發(fā) 軟 信 號(hào) RESET D7 D6 D5 D4 D3 D2 D1 D0 DSR SYNDET FE OE PE TxE RxRDY TxRDY 接口狀態(tài)寄存器的內(nèi)容格式 發(fā) 送 器 就 緒 信 號(hào) , 1 有 效 并 到 串 的 發(fā) 送 器 空 , 1 有 效 接 收 器 就 緒 信 號(hào) , 1 有 效 奇 偶 錯(cuò) 溢 出 錯(cuò) 數(shù) 據(jù) 幀 挫 數(shù) 據(jù) 設(shè) 備 就 緒 , 1 有 效 TEC-20008 機(jī)串行口初始化的程序 MOV R0, 4Eh OUT 81h OUT 83h MOV R0, 37h OUT 81h OUT 83h 方式設(shè)置: 0 1 0 0 1

28、 1 1 0 1個(gè)停止位 無奇偶校驗(yàn) 字符為 8 bits 16*波特率 命令設(shè)置: 0 0 1 1 0 1 1 1 不用 請(qǐng) 求 發(fā) 送 錯(cuò) 誤 標(biāo) 志 復(fù) 位 不 用 允 許 發(fā) 送 允 許 接 收 數(shù) 據(jù) 終 端 準(zhǔn) 備 好 對(duì) OUT 指令而言, 81h、 83h 為控制寄存器地址; 對(duì) IN 指令而言, 81h、 83h 為狀態(tài)寄存器地址; 編程用串行口執(zhí)行輸入任務(wù) /輸出操作 INCH:IN 81h SHR R0 SHR R0 JRNC, INCH IN 80h PUSH R1 MOV R1, 00FFh AND R0, R1 POP R1 RET OUTCH:PUSH R0 OU

29、T1: IN 91h SHR R0 JRNC,OUT1 POP R0 OUT 90h RET 對(duì) IN 指令而言, 80h 是讀入數(shù)據(jù) 81h 是讀入狀態(tài) 對(duì) OUT指令而言 , 90h 是輸出數(shù)據(jù) 91h 是輸出命令 雙串行口同時(shí)運(yùn)行的例子 Loop: in 81 loop1: in 91 shr r0 shr r0 shr r0 shr r0 jrnc loop1 jrnc loop in 80 in 90 out 80 out 80 out 90 jr loop ret 完成兩臺(tái)教學(xué)計(jì)算機(jī)的第 2 個(gè)串行接口的初始化和輸入輸出操作,要 把兩臺(tái)教學(xué)機(jī)通過第個(gè)接口連接起來,都運(yùn)行這個(gè)程序,則

30、每個(gè)鍵盤的 輸入會(huì)同時(shí)顯示在兩個(gè)屏幕上,實(shí)現(xiàn)的是雙機(jī)的雙向通訊功能。 每臺(tái)教學(xué)機(jī)都只能檢查與操作自己的串行口,管不了另外那臺(tái)教學(xué)機(jī)。 檢查本機(jī)有 鍵盤輸入否, 無輸入則去 檢查擴(kuò)展接 口中有輸入 否;本機(jī)有 輸入則接收、 顯示并經(jīng)擴(kuò) 展接口送給 另外那臺(tái)教 學(xué)計(jì)算機(jī)。 檢查擴(kuò)展接 口中有輸入 否 (來自另一 臺(tái)教學(xué)機(jī) ), 有則接收并 顯示,沒有 或有輸入并 已經(jīng)顯示, 都要轉(zhuǎn)去檢 查本機(jī)的鍵 盤是否有輸 入。 常用接口概述 USB接口 通用于串行總線 ( Universal Serial Bus) USB由一個(gè)插在 PCI總線上的根集線器組成,它的電纜接口 可以連接 I/O設(shè)備或者擴(kuò)展集線器

31、。 USB中有 4根導(dǎo)線,兩根數(shù)據(jù)線,一根電源,一根地線。 V1.1總線帶寬 12Mbps, V2.0總線帶寬 400Mbps。 USB接口的一些特點(diǎn) 不必打開機(jī)箱來安裝新的輸入輸出設(shè)備 只需要一根電纜線就可以將所有設(shè)備連接起來 輸入 /輸出設(shè)備可以從電纜上得到電源 單臺(tái)計(jì)算機(jī)最多可以連接 127個(gè)設(shè)備 系統(tǒng)能支持實(shí)時(shí)設(shè)備(聲卡、電話) 可在計(jì)算機(jī)運(yùn)行時(shí)安裝設(shè)備,不必重新啟動(dòng) 常用接口概述 IDE( Integrated Drive Electronics)、 EIDE接口 廣泛應(yīng)用于 PC機(jī)中, IDE最多連接 2個(gè) IDE設(shè)備, EIDE最多 連接 4個(gè) IDE設(shè)備; IDE讀寫磁盤的數(shù)據(jù)

32、傳輸率一般不超過 1.5MB/s, EIDE可達(dá) 12 18MB/s; IDE接口連接的磁盤設(shè)備容量不超過 528M, EIDE無此限制 EIDE接口通常接在 PCI總線上,數(shù)據(jù)傳送帶寬由 IDE的 8位 擴(kuò)展到 32位。 SCSI( Small Computer System Interface)接口 應(yīng)用于工作站和 PC服務(wù)器中,成為主機(jī)和智能外設(shè)連接的 統(tǒng)一 I/O接口,可以控制磁盤驅(qū)動(dòng)器、磁帶機(jī)、光盤、打印機(jī)、 掃描儀等外設(shè); SCSI使用 50芯或 68芯電纜,插座體積較大; SCSI的發(fā)展以及相關(guān)標(biāo)準(zhǔn)見下頁列表。 SCSI接口標(biāo)準(zhǔn) 標(biāo)準(zhǔn) 總線寬度 最高數(shù)據(jù)傳輸率 ( MB/S) 連

33、接設(shè)備數(shù)量 SCSI 8 5 8 Fast SCSI 8 10 8 Wide SCSI 16 10 8 Fast Wide SCSI 16 20 16 Ultra SCSI 8 20 8 Wide Ultra SCSI 16 40 16 Ultra2 SCSI 8 40 8 Wide Ultra2 SCSI 16 80 16 Ultra3 SCSI 16 160 16 Ultra320 16 320 16 常用接口概述 IEEE 1394串行接口 高速率,實(shí)時(shí)性好: 1394-1995規(guī)定 200Mbps 400Mbps, 1394b規(guī)定 800Mbps 3.2Gbps; 體積小易安裝,連接方

34、便。 1394使用 6芯電纜,直徑約 6mm,插座也??; 最多可以連接 63個(gè)設(shè)備,即插即用,支持熱插拔。 AGP 接口 把主存和顯存通過芯片組(北橋)直接連接,提高數(shù)據(jù) 傳輸率;總線寬度為 32位,時(shí)鐘頻率為 66MHz,最高傳 輸率為 528Mbps PCMCIA接口 廣泛應(yīng)用于筆記本電腦的接口, I、 II、 III三種類型插槽 (厚度不同,長寬相同)。 通常用來插上存儲(chǔ)器( Flash Memory)卡 或 Fax /Modem /Network卡等。 5、計(jì)算機(jī)總線概述 總線 是計(jì)算機(jī)各個(gè)部件之間傳輸信息的 公共通路,包括傳輸數(shù)據(jù)信號(hào)的邏輯電路、 管理信息傳輸協(xié)議的邏輯線路和物理連線

35、。 一組導(dǎo)線 接口的物理 /機(jī)械特性 電氣信號(hào)規(guī)范 時(shí)序和信號(hào)規(guī)范 總線事務(wù)協(xié)議 計(jì)算機(jī)總線概述 在任何時(shí)刻, 只可以有一個(gè)部件 向總線 上發(fā)送信息,但卻可以有一個(gè)或多個(gè)部件同 時(shí)接收信息??刂葡蚩偩€發(fā)送信息通常用帶 有高阻態(tài)輸出的選通門實(shí)現(xiàn)。 總線 高 低 高 A B C 計(jì)算機(jī)總線概述 承擔(dān)不同功能的三種總線 數(shù)據(jù)總線:傳輸數(shù)據(jù)信息,頻率與寬度正比于吞吐量 地址總線:傳輸?shù)刂沸畔?,寬度決定了內(nèi)存尋址空間 控制總線:給出總線周期類型、 I/O操作完成時(shí)刻、 DMA周期、中斷等有關(guān)的控制信號(hào)等 存 儲(chǔ) 器 I/O 接 口 輸 入 設(shè) 備 I/O 接 口 數(shù)據(jù)總線 DB 控制總線 CB 地址總線

36、 AB 輸 出 設(shè) 備 CPU 總線周期以及相關(guān)概念 總線周期 : 通過總線完成一次內(nèi)存讀寫操作或者 完成一次 I/O設(shè)備讀寫操作所需的時(shí)間,一般由地址時(shí) 間和數(shù)據(jù)時(shí)間兩個(gè)時(shí)間段組成: 地址時(shí)間: CPU向內(nèi)存或 IO設(shè)備送地址信息到地址總線 數(shù)據(jù)時(shí)間: CPU完成數(shù)據(jù)讀寫 周期類型 : 一般分為內(nèi)存讀周期、內(nèi)存寫周期、 I/O讀周期、 I/O寫周期四種類型 總線的等待狀態(tài) :由于被讀寫的部件或設(shè)備速度 慢,一次數(shù)據(jù)時(shí)間內(nèi)不能完成讀寫操作,就要增加一 個(gè)或多個(gè)數(shù)據(jù)時(shí)間繼續(xù)完成讀寫操作,在這增加的數(shù) 據(jù)時(shí)間里,稱總線處于等待狀態(tài)。它影響系統(tǒng)運(yùn)行效 率,降低系統(tǒng)的性能。 信息傳送 尋址 數(shù)據(jù)線 地

37、址線 總線占用期間 地址 數(shù)據(jù) 單周期數(shù)據(jù)傳輸方式 尋址 數(shù)據(jù) n 地址 數(shù)據(jù) 3 數(shù)據(jù) 1 數(shù)據(jù) 2 數(shù)據(jù)線 地址線 . 信息傳送 總線占用期間 無效 猝發(fā)數(shù)據(jù)傳輸方式 總線周期以及相關(guān)概念 正??偩€周期 : 每次數(shù)據(jù)傳輸都由一次地址時(shí)間和一次數(shù)據(jù) 時(shí)間組成。(單周期數(shù)據(jù)傳輸方式) Burst總線周期 : 數(shù)據(jù)傳輸由一次地址時(shí)間和多次數(shù)據(jù)時(shí)間 組成,即給出一次地址信息,連續(xù)傳送多個(gè)數(shù)據(jù)。(猝發(fā) 數(shù)據(jù)傳輸方式) 輸入 設(shè)備 計(jì)算機(jī)總線的結(jié)構(gòu) 單總線結(jié)構(gòu) : 早期的計(jì)算機(jī),如美國 DEC 公 司 PDP-11 機(jī)只使用一組總線,所有的部件 和設(shè)備都接在這唯一的總線上,包括數(shù)據(jù)總 線,地址總線,控

38、制總線,其優(yōu)點(diǎn)是結(jié)構(gòu)簡 單,成本低廉,缺點(diǎn)是運(yùn)行效率低。 CPU 主存 輸出 設(shè)備 總線 計(jì)算機(jī)總線的結(jié)構(gòu) 總線性能 (數(shù)據(jù)輸入 /輸出的吞吐量)對(duì)計(jì)算 機(jī)系統(tǒng)總體性能有重大的影響,提高總線 性能,一是盡量提高總線本身的速度,二 是從總線結(jié)構(gòu)多提供幾組總線。 雙總線結(jié)構(gòu) 是指是指在計(jì)算機(jī)中配置兩組總線,即在處理 機(jī)總線上通過一塊擴(kuò)展總線的控制線路,提供 出另外一組總線,稱為輸入 /輸出總線,比較常 用的有工業(yè)標(biāo)準(zhǔn)總線( ISA)和擴(kuò)展的工業(yè)標(biāo) 準(zhǔn)總線( EISA),主要用于連接一般的輸入 / 輸出設(shè)備。 計(jì)算機(jī)總線的結(jié)構(gòu) CPU 主存 擴(kuò)展總線 控制線路 I/O設(shè)備 1 I/O設(shè)備 2 處理機(jī)

39、總線 33MHz 4B8B ISA / EISA 8.33MHz 1 , 2 , 4 B 雙 總 線 結(jié) 構(gòu) . . . 計(jì)算機(jī)總線的結(jié)構(gòu) 總線性能 (數(shù)據(jù)輸入 /輸出的吞吐量)對(duì)計(jì)算機(jī) 系統(tǒng)總體性能有重大的影響,提高總線性能, 一是盡量提高總線本身的速度,二是從總線結(jié) 構(gòu)多提供幾組總線。 三總線結(jié)構(gòu) 是指在計(jì)算機(jī)中配置 3組總線,即在處理機(jī)總線上 通過一塊被稱為 PCI橋的控制線路,提供出一組高 性能的局部總線,稱為 PCI總線,而把原來的 ISA 總線和 EISA總線從處理機(jī)總線上斷開,并通過 IO 控制線路連接到這里的 PCI總線上。把一些慢速的 輸入 /輸出設(shè)備接到 EISA( ISA

40、)總線上。 計(jì)算機(jī)總線的結(jié)構(gòu) CPU 主存 PCI橋 I/O設(shè)備 1 I/O設(shè)備 2 處理機(jī)總線 66MHz 4B8B ISA / EISA 8.33MHz 1 , 2 , 4 B 三 總 線 結(jié) 構(gòu) . . . PCI BUS 33MHz 4B 擴(kuò)展總線 控制線路 I/O設(shè)備 3 I/O設(shè)備 4 接快速設(shè)備 接慢速設(shè)備 幾種常用的標(biāo)準(zhǔn)總線 ISA( Industrial Standard Architecture)總線 : 工 業(yè)標(biāo)準(zhǔn)結(jié)構(gòu)總線, 8位(后來 16位)數(shù)據(jù)線, 20位 (后來 24位)地址線,工作頻率 8.33MHz。 EISA( Extended Industrial Sta

41、ndard Architecture )總線 : 擴(kuò)展工業(yè)標(biāo)準(zhǔn)結(jié)構(gòu)總線, 16或 32位數(shù)據(jù)線, 32位地址線,工作頻率 8.33 MHz,支持 Burst方式傳輸數(shù)據(jù)。 VESA( Video Electronics Standard Association) 總線 : 32位局部總線,連接顯卡、網(wǎng)卡等,最高工 作頻率 33MHz。沒有嚴(yán)格標(biāo)準(zhǔn),各廠家產(chǎn)品兼容性 差,針對(duì) 80486。 PCI( Peripheral Component Interface)總線 : 外 圍部件互連總線(局部總線), V1.0 支持 33MHz 工作頻率, 32位地址和數(shù)據(jù)線互用; V2.1支持 66MHz工

42、作主頻, 64位地址和數(shù)據(jù)線互用。 ISA 插 槽 PCI插槽 AGP 插 槽 北橋 芯片組 南橋 芯片組 內(nèi)存插槽 CPU插槽 IDE接口 軟驅(qū)接口 并口連接器 串口連接器 ROM BIOS 鼠標(biāo)鍵盤 USB 接口 主板 電源 插座 現(xiàn)代 PC機(jī)的結(jié)構(gòu) 550MHz IDE2 Pentium III 北橋 440BX AGP 南橋 PIIX4E CMOS & RTC USB 超級(jí) I/O IDE1 COM1 COM2 LPT1 550MHz L1 Cache L2 Cache 處理機(jī)總線 100MHz 100MHz PCI 總線 33MHz PCI 插槽 ISA 插槽 ISA總線 8MHz

43、內(nèi)存條 ROM BIOS 顯 示 器 硬盤 光驅(qū) 軟驅(qū) 鍵盤鼠標(biāo) 打印機(jī) MODEM 66MHz 顯卡 總線仲裁與數(shù)據(jù)傳輸控制 總線主設(shè)備與從設(shè)備 總線主設(shè)備( bus master) :申請(qǐng)總線使用權(quán)并 發(fā)出命令控制總線運(yùn)行的設(shè)備,如 CPU等。 總線從設(shè)備( bus slave):只能響應(yīng)主設(shè)備發(fā)出 的命令并執(zhí)行讀寫操作的設(shè)備,如內(nèi)存等。 總線控制器(總線仲裁器) 執(zhí)行總線仲裁功能,解決多個(gè)總線主設(shè)備競爭使 用總線的管理問題,它是通過判別主設(shè)備使用總 線的優(yōu)先級(jí)( bus priority)來完成的,決定多個(gè) 申請(qǐng)使用總線的主設(shè)備中哪個(gè)獲取總線的使用權(quán), 保證任何時(shí)刻只有一個(gè)總線主設(shè)備使用

44、總線傳輸 數(shù)據(jù)。 總線仲裁與數(shù)據(jù)傳輸控制 總線仲裁方式 集中式控制 :總線控制邏輯集中在一個(gè)部件上, 常用的三種集中式總線仲裁方式 串行鏈?zhǔn)讲樵兎绞?計(jì)數(shù)器定時(shí)查詢方式 獨(dú)立請(qǐng)求方式 分布式控制 :總線控制邏輯分散在多個(gè)總線部件 上 各主模塊有自己的仲裁號(hào)和仲裁邏輯 以優(yōu)先級(jí)仲裁策略為基礎(chǔ)。 串行鏈?zhǔn)讲樵兎绞?總 線 控 制 部 件 I/O接口 0 BS BR I/O接口 1 I/O接口 n BG 數(shù)據(jù)線 地址線 BS -總線忙 BR-總線請(qǐng)求 BG-總線同意 接口 BS -總線忙 BR-總線請(qǐng)求 總 線 控 制 部 件 數(shù)據(jù)線 地址線 I/O接口 0 BS BR I/O接口 1 I/O接口

45、n 設(shè)備地址 計(jì)數(shù)器定時(shí)查詢方式 接口 計(jì)數(shù)器 設(shè)備地址 0 1 排隊(duì)器 排隊(duì)器 獨(dú)立請(qǐng)求方式 總 線 控 制 部 件 數(shù)據(jù)線 地址線 I/O接口 0 I/O接口 1 I/O接口 n BR0 BG0 BR1 BG1 BRn BGn BG-總線同意 BR-總線請(qǐng)求 總線仲裁與數(shù)據(jù)傳輸控制 分布式仲裁 : 首先把自己的仲裁號(hào)發(fā)送到仲裁總線 上,仲裁邏輯將仲裁總線上的仲裁號(hào)與自己的仲 裁號(hào)比較,若自己的優(yōu)先級(jí)低,則請(qǐng)求失敗,撤 除自己的仲裁號(hào),仲裁總線上最終保留優(yōu)先級(jí)最 高的仲裁號(hào)。 總線仲裁與數(shù)據(jù)傳輸控制 數(shù)據(jù)傳輸控制方式 :解決的是通信雙方交換數(shù)據(jù)過 程中在時(shí)間上的配合關(guān)系,也就是同步問題??梢?/p>

46、分為 同步通信 與 異步通信。 同步通信 是指在總線上傳送數(shù)據(jù)時(shí),通信雙方使用同一個(gè)時(shí)鐘信號(hào) 進(jìn)行同步,這個(gè)時(shí)鐘信號(hào)通常可以由 CPU的總線控制邏輯 部件提供,稱為總線時(shí)鐘。此種方式邏輯簡單,可以有比 較高的數(shù)據(jù)傳輸率。 異步通信 是指在總線上傳送數(shù)據(jù)時(shí),允許通信雙方各自使用自己的 時(shí)鐘信號(hào),采用“應(yīng)答方式”(握手方式)解決數(shù)據(jù)傳輸 過程中的時(shí)間配合關(guān)系。此種方式便于實(shí)現(xiàn)不同速度部件 之間的數(shù)據(jù)傳送。 總線仲裁與數(shù)據(jù)傳輸控制 同步通信步驟 : ( 1)主設(shè)備在第 1個(gè)時(shí)鐘周期開始 處發(fā)出地址和讀信號(hào),地址和控制信號(hào)有效,( 2) 從設(shè)備接收后在下一個(gè)時(shí)鐘周期開始處把數(shù)據(jù)信 號(hào)及應(yīng)答信號(hào)放到總線

47、上,( 3)在下一個(gè)時(shí)鐘周 期到來時(shí),各信號(hào)恢復(fù),完成總線周期。 時(shí)鐘 讀 地址 應(yīng)答 數(shù)據(jù) 同 步 傳 送 時(shí) 序 圖 總線仲裁與數(shù)據(jù)傳輸控制 異步通信步驟 : ( 1)主設(shè)備先發(fā)出地址和讀信號(hào),待 穩(wěn)定后再發(fā)出主同步信號(hào) MSYN,表示地址和控制 信號(hào)有效,( 2)從設(shè)備檢測(cè)到同步信號(hào)后發(fā)出數(shù)據(jù), 待數(shù)據(jù)穩(wěn)定后再發(fā)出從同步信號(hào) SSYN,表示數(shù)據(jù)信 號(hào)有效,( 3)主設(shè)備接收數(shù)據(jù)。 讀 地址 MSYN 數(shù)據(jù) SSYN 異 步 傳 送 時(shí) 序 圖 6、常用的輸入輸出方式 基本概念 在計(jì)算機(jī)主機(jī)和 I/O設(shè)備之間采用不同的控制方 式進(jìn)行數(shù)據(jù)傳送; 各種方式的性能、價(jià)格、解決問題的重點(diǎn)不同。 常

48、用的控制方式 程序直接控制方式 程序中斷傳送方式 直接存儲(chǔ)器存取方式 I/O通道控制方式 外圍處理機(jī)方式 程序直接控制方式 基本概念 用戶程序直接使用 I/O 指令完成輸入輸出操作,由 CPU查詢?cè)O(shè)備的運(yùn)行狀態(tài)來控制數(shù)據(jù)傳送過程 控制過程 開始傳送數(shù)據(jù)前 CPU檢測(cè)設(shè)備是否準(zhǔn)備好(通過讀取 接口中的狀態(tài)寄存器內(nèi)容實(shí)現(xiàn)),若設(shè)備已經(jīng)準(zhǔn)備好 則啟動(dòng)這次傳送;若沒有準(zhǔn)備好,則重復(fù)檢測(cè)設(shè)備 存在問題 缺點(diǎn)是嚴(yán)重影響系統(tǒng)性能, CPU與外設(shè)只能串行工作, CPU資源浪費(fèi),降低系統(tǒng)效率 如果設(shè)備出現(xiàn)故障, CPU無法獲取正確的設(shè)備狀態(tài)信 息,則計(jì)算機(jī)將可能進(jìn)入“死機(jī)”局面 程序直接控制方式 讀外設(shè)狀態(tài) 完

49、成 ? Y Y N N R eady ? 傳送數(shù)據(jù) 啟動(dòng)外設(shè) CPU 處 理 過 程 程序直接控制方式 A 2000 2000: MVRD R2, 000A MVRD R0, 0030 2004: OUT 80 DEC R2 JRZ 200E PUSH R0 2008: IN 81 SHR R0 JRNC 2008 POP R0 INC R0 JR 2004 200E: RET CPU方 : 往接口送出要輸 出的字符 查詢接口狀態(tài) (循環(huán)等待) 直到外設(shè)已經(jīng)輸 出該字符 輸出下一個(gè)字符 外設(shè)方 : 接收接口中的字符 往外設(shè)中輸出字符 處理完后,置狀態(tài) 寄存器 接收下一個(gè)字符 通過串行接口輸出

50、09 十個(gè)數(shù)字符的程序 程序中斷傳送方式 基本概念 通常在程序中安排一條指令,啟動(dòng)外設(shè),然后 CPU繼續(xù)執(zhí) 行程序。當(dāng)外設(shè)完成信號(hào),在結(jié)束一條指令執(zhí)行過程、下 一條指令尚未開始的時(shí)刻,停下正在運(yùn)行的程序,保存好 運(yùn)行的現(xiàn)場信息,轉(zhuǎn)去完成數(shù)據(jù)傳送工作,通常傳送一個(gè) 字節(jié)或字,傳送完畢之后返回原來的程序繼續(xù)執(zhí)行。 工作特點(diǎn) 系統(tǒng)在啟動(dòng)外設(shè)后到數(shù)據(jù)準(zhǔn)備完成這段時(shí)間內(nèi) CPU一直在 執(zhí)行程序,而不是處于等待狀態(tài),一定程度上實(shí)現(xiàn)了 CPU 與外設(shè)的并行工作。 多臺(tái)外設(shè)啟動(dòng)后可以同時(shí)進(jìn)行數(shù)據(jù)交換的準(zhǔn)備工作,若多 臺(tái)外設(shè)同時(shí)發(fā)出中斷請(qǐng)求信號(hào), CPU可以按照一定的優(yōu)先 順序進(jìn)行處理,從而實(shí)現(xiàn)了外設(shè)的并行工作

51、。 直接存儲(chǔ)器存取方式 主要應(yīng)用于快速外圍設(shè)備和主存儲(chǔ)器進(jìn)行 快速成批交換數(shù)據(jù)的場合。 數(shù)據(jù)的傳輸過程可以交由一塊專用的 DMA接口卡來控制,讓 DMA卡代替 CPU控制 在快速設(shè)備與主存儲(chǔ)器之間直接傳輸數(shù)據(jù),每 傳輸一個(gè)數(shù)據(jù)只需一個(gè)總線周期即可。 從共同使用總線的角度看, DMA和 CPU 成為競爭對(duì)手關(guān)系。當(dāng)完成一批數(shù)據(jù)傳輸之后, 快速設(shè)備還是要向 CPU發(fā)一次中斷請(qǐng)求,報(bào)告 本次傳輸結(jié)束的同時(shí),“請(qǐng)示”下一步的操作 要求。 I/O通道控制方式 基本概念 I/O通道是計(jì)算機(jī)系統(tǒng)中代替 CPU管理控制外設(shè)的獨(dú) 立部件,是一種能執(zhí)行有限 I/O 指令集合 通道命 令的 I/O 處理機(jī)。 一對(duì)多

52、的連接關(guān)系,適應(yīng)不同速度、不同種類的外部 設(shè)備,可并行工作。 通道功能 根據(jù) CPU要求選擇某一指定外設(shè)與系統(tǒng)相連,向該 外設(shè)發(fā)出操作命令,進(jìn)行初始化 指出外設(shè)讀 /寫信息的位置以及與外設(shè)交換信息的主 存緩沖區(qū)地址 控制外設(shè)與主存之間的數(shù)據(jù)交換 指定數(shù)據(jù)傳送結(jié)束時(shí)的操作內(nèi)容,檢查外設(shè)狀態(tài) 外圍處理機(jī)方式 主要用途 主要應(yīng)用于大型高性能計(jì)算機(jī)系統(tǒng)中,使用微 小型通用計(jì)算機(jī)協(xié)助主處理機(jī)完成輸入輸出操 作。 主要功能 不但可以完成前面講的 I/O通道的 I/O控制功能, 還可以完成更加復(fù)雜多樣的附加操作功能; 例如:碼制轉(zhuǎn)換、格式處理、數(shù)據(jù)塊的檢錯(cuò)糾 錯(cuò)處理,承擔(dān) I/O系統(tǒng)與設(shè)備的診斷維護(hù)、人 機(jī)

53、交互處理等處理功能。 程序中斷輸入輸出方式 中斷的概念 是由 I/O設(shè)備或其他非預(yù)期的急需處理的事件引 起的,它使 CPU暫時(shí)中斷現(xiàn)在正在執(zhí)行的程序, 而轉(zhuǎn)至另一服務(wù)程序去處理這些事件,處理完 成后再返回原程序 中斷的作用 CPU 與 I/O 設(shè)備并行工作 硬件故障報(bào)警與處理 實(shí)現(xiàn)人機(jī)交互聯(lián)系 實(shí)現(xiàn)多道程序分時(shí)運(yùn)行 實(shí)現(xiàn)過程控制實(shí)時(shí)處理 中 斷 服 務(wù) 程 序 中 斷 服 務(wù) 程 序 入口 1 入口 2 1 2 K K+1 Q Q+1 中 斷 服 務(wù) 程 序 中 斷 服 務(wù) 程 序 中斷的概念 IO中斷產(chǎn)生:以打印機(jī)為例 發(fā) 中 斷 請(qǐng) 求 空閑 接收 數(shù)據(jù) 接收 數(shù)據(jù) 準(zhǔn)備 發(fā)中 斷 請(qǐng) 求

54、 打印 打印 打印機(jī) 執(zhí)行主程序 繼續(xù)執(zhí)行主程序 繼續(xù)執(zhí)行主程序 響 應(yīng) 中 斷 中 斷 返 回 響 應(yīng) 中 斷 中 斷 返 回 啟動(dòng) 打印機(jī) 傳送 數(shù)據(jù) 傳送 數(shù)據(jù) CPU CPU 與打印機(jī)并行工作 程序中斷輸入輸出方式 中斷源 能引起中斷的事件或能發(fā)出中斷請(qǐng)求的設(shè)備 中斷源分類 硬件中斷 :由各種輸入 /輸出設(shè)備、一些接口卡等 引起的中斷被稱為 外(部)中斷 ,而由處理機(jī)硬 件故障、程序運(yùn)行出錯(cuò)等引起的中斷被稱為 內(nèi) (部)中斷 ,例如非法指令、算術(shù)運(yùn)算溢出、校 驗(yàn)錯(cuò)、電源故障等都會(huì)產(chǎn)生內(nèi)中斷。 軟件中斷 :由寫在程序中的語句(例如用戶程序 中的系統(tǒng)調(diào)用指令, trap指令等)引起的一段程

55、序 的執(zhí)行過程,它很類似于一次中斷處理過程。 軟件中斷是嚴(yán)格的與程序運(yùn)行過程同步的,而硬 件中斷則是隨機(jī)發(fā)生的。 程序中斷輸入輸出方式 中斷觸發(fā)器 當(dāng)中斷源發(fā)生引起中斷的事件時(shí),先將它保存 在設(shè)備控制器的“中斷觸發(fā)器”中,即將“中 斷觸發(fā)器”置“ 1”,向 CPU發(fā)出“中斷請(qǐng)求” 信號(hào)。每個(gè)中斷源有一個(gè)中斷觸發(fā)器,全機(jī)的 多個(gè)中斷觸發(fā)器構(gòu)成中斷寄存器。 中斷屏蔽觸發(fā)器 當(dāng)產(chǎn)生中斷請(qǐng)求后,用程序方式有選擇的封鎖 部分中斷,而允許其他部分中斷仍得到響應(yīng), 稱為中斷屏蔽。實(shí)現(xiàn)方法是為每個(gè)中斷源設(shè)置 一個(gè) 中斷屏蔽觸發(fā)器 來屏蔽設(shè)備的中斷請(qǐng)求。 程序中斷輸入輸出方式 可屏蔽中斷和不可屏蔽中斷 可以被

56、CPU通過指令限制其發(fā)出中斷請(qǐng)求的中斷屬于 可屏蔽中斷,例如對(duì)某些外圍設(shè)備就可以在一段時(shí)間 里執(zhí)行中斷屏蔽;一些中斷是不允許執(zhí)行屏蔽中斷的 , 例如電源掉電中斷,稱這類中斷為不可屏蔽中斷。 禁止中斷 如果由于某種原因,在很短一小段時(shí)間內(nèi),不允許 CPU接 收任何一個(gè)中斷請(qǐng)求( 禁止中斷 ),通常是在 CPU內(nèi)部設(shè) 置一個(gè)“ 中斷允許 ”觸發(fā)器,只有該觸發(fā)器被置為“” 狀態(tài) ,才允許 CPU響應(yīng)中斷請(qǐng)求,該觸發(fā)器被置為“ 0”狀態(tài), 則禁止 CPU響應(yīng)中斷請(qǐng)求; 指令系統(tǒng)中,要給出“開中斷”指令(置“”中斷允許 觸發(fā)器)和“關(guān)中斷”指令(清“”中斷允許觸發(fā)器)。 程序中斷輸入輸出方式 中斷優(yōu)先級(jí)

57、 為管理眾多的中斷請(qǐng)求,需要按每個(gè)(類)中斷處理 的急迫程度,對(duì)中斷進(jìn)行分級(jí)管理。在有多個(gè)中斷請(qǐng) 求時(shí),首先響應(yīng)與處理優(yōu)先級(jí)最高的一個(gè)中斷請(qǐng)求。 中斷嵌套 是指在處理中斷的過程中又可以響應(yīng)更高優(yōu)先級(jí)中斷 的辦法。 如果 CPU正在處理優(yōu)先級(jí)低的一個(gè)中斷,又來了優(yōu)先 級(jí)更高的一個(gè)中斷請(qǐng)求,則停止低優(yōu)先級(jí)的中斷處理 過程,以便及時(shí)響應(yīng)更高優(yōu)先級(jí)的中斷請(qǐng)求,在該高 優(yōu)先級(jí)中斷處理完成之后,接下來再繼續(xù)處理低優(yōu)先 級(jí)的中斷,在該低優(yōu)先級(jí)中斷處理完成之后,返回去 接著執(zhí)行主程序。 程序中斷輸入輸出方式 中斷請(qǐng)求 中斷源給 CPU發(fā)控制信號(hào),通過中斷源的 中斷觸發(fā)器 完成(但受 中斷屏蔽觸發(fā)器 的制約)

58、中斷響應(yīng) 中斷響應(yīng)的基本條件:允許中斷、 CPU結(jié)束一條指令 執(zhí)行、中斷優(yōu)先級(jí)比當(dāng)前處理任務(wù)高 中斷處理 關(guān) 中 斷 保 存 斷 點(diǎn) 判 中 斷 源 , 轉(zhuǎn) 中 斷 服 務(wù) 開 中 斷 執(zhí) 行 中 斷 服 務(wù) 程 序 關(guān) 中 斷 恢 復(fù) 斷 點(diǎn) 開 中 斷 返 回 斷 點(diǎn) 程序中斷輸入輸出方式 判別中斷源的方法 多個(gè)中斷源發(fā)出中斷請(qǐng)求時(shí),首先需要找出其中中 斷優(yōu)先級(jí)最高的那個(gè)中斷源; 采用軟件方案時(shí),是用程序檢查中斷字的內(nèi)容實(shí)現(xiàn) 全部的中斷觸發(fā)器構(gòu)成中斷寄存器,其內(nèi)容稱為中斷 字。當(dāng)中斷源數(shù)量很多時(shí),中斷字也就很長; 為了管理方便,通常把所有中斷按不同類別、性質(zhì)取 分為若干個(gè)中斷優(yōu)先級(jí),每個(gè)中

59、斷優(yōu)先級(jí)中安排多個(gè) 中斷源,在確定中斷優(yōu)先次序時(shí),先查最高中斷優(yōu)先 級(jí),再到這一中斷優(yōu)先級(jí)中找出中斷優(yōu)先次序最高的 中斷源。 采用硬件方案時(shí),一般選用串行鏈?zhǔn)脚抨?duì)法,需要 有比較、判斷中斷的優(yōu)先級(jí)的邏輯線路 程序中斷輸入輸出方式 定位中斷服務(wù)程序入口地址 一是在中斷總控程序中用專用的指令接收中斷 設(shè)備編碼,再用該設(shè)備編碼到指定的內(nèi)存區(qū)中 找到中斷服務(wù)程序的入口地址。 另外一種是中斷向量法,由每個(gè)中斷源直接提 供中斷向量,用這一中中斷向量為地址到中斷 向量表中取出中斷服務(wù)程序的入口地址。中斷 向量表是由每個(gè)中斷源的中斷服務(wù)程序的入口 地址組成的一張列表,通常被存放在內(nèi)存中的 指定的一片區(qū)域中。

60、主程序: A2150 A2000 PUSH R0 2 個(gè)寄存器的入棧出棧操 * EI (6E00) PUSH R13 作是為了避免不同優(yōu)先級(jí) MVRD R0,4D MVRD R13,0FFF 中斷嵌套過程中彼此干擾 OUT 80 DEC R13 CALA 2150 JRNZ 2154 JR 2001 POP R13 RET POP R0 RET A2120( 2130, 2140) 3 個(gè)中斷服務(wù)程序入口各 不相同,并使用不同的寄 A2104 * EI (6E00) 存器檢查輸出的字符個(gè)數(shù) JR 2120 PUSH R0 A2108 MVRD R7, 50 ( R8, R9) JR 2130

61、MVRD R0, 31 (32,33) A210C OUT 80 前面帶 * 號(hào)的語句屬于 JR 2140 CALA 2150 擴(kuò)展指令,只能用 E命 DEC R7 ( R8, R9) 令輸入指令碼 JRNZ 2124( 2134, 2144) POP R0 * IRET (EF00) 3 級(jí)嵌套的中斷實(shí)驗(yàn) :主程序連續(xù)輸出字符 M ,不同優(yōu)先級(jí)的中斷服 務(wù)程序連續(xù)輸出 50h 個(gè)對(duì)應(yīng)的的數(shù)字符。 2150 入口的子程序完成延時(shí)等 待。在中斷服務(wù)程序中用于檢查輸出字符個(gè)數(shù)的寄存器各不相同,以避免 中斷嵌套過程中彼此干擾。 直接存儲(chǔ)器存取 (DMA)方式 基本概念 DMA是在專門的硬件( DMA

62、接口卡)控制下,實(shí)現(xiàn)高速 I/O設(shè) 備與主存儲(chǔ)器之間成批交換數(shù)據(jù)的輸入 /輸出操作方式。 工作方式 獨(dú)占總線方式:從傳送第一個(gè)字開始直到這批數(shù)據(jù)傳輸完成 的整個(gè)過程, DMA都把住總線不放,使總線只為本 DMA使用。 其缺點(diǎn)是 CPU和其他 DMA等 bus masters都要停止運(yùn)行,會(huì)影 響系統(tǒng)運(yùn)行效率。 周期挪用方式:在 DMA占用總線周期傳送一個(gè)字的期間,如 果 CPU在此期間并不使用總線,它就繼續(xù)執(zhí)行指令,二者均可 運(yùn)行,互不干涉,若 CPU也要使用總線,則發(fā)生了爭用總線的 矛盾,此時(shí) CPU要讓出一個(gè)總線周期先給 DMA使用,然后自己 才能得到總線使用權(quán)并繼續(xù)運(yùn)行。 DMA 與 C

63、PU 交替訪問主存方式。 DMA控制器( DMA卡) DMA卡 是插接在總線插槽上的一塊邏輯線路 板,它的一端通過總線與計(jì)算機(jī)主存儲(chǔ)器連接, 另外一端通過電纜與高速設(shè)備連接。 與一般接口卡不同的是, DMA可以變化自己 的角色,當(dāng) CPU對(duì)它進(jìn)行讀寫時(shí), CPU是 bus master, DMA是 bus slave,當(dāng) DMA控制高速設(shè) 備與主存交換數(shù)據(jù)時(shí), DMA是 bus master。 DMA卡 通常包括一般通用可編程接口卡的全 部組成部分,例如 設(shè)備識(shí)別邏輯 , 控制 /狀態(tài)邏輯 , 數(shù)據(jù)緩沖電路 , 中斷機(jī)構(gòu) 等,又要多出其他幾個(gè) 組成部分,例如 主存地址計(jì)數(shù)器 , 數(shù)據(jù)數(shù)量計(jì)數(shù)

64、器 , DMA請(qǐng)求線路 等。 DMA控制器組成部分介紹 主存地址計(jì)數(shù)器 , 存放讀寫主存用到的主存地 址,一批數(shù)據(jù)傳送開始前,由 CPU寫入其初值,以后 每傳送一個(gè)字,該地址計(jì)數(shù)器加 ,使其指向下一個(gè)主 存單元。 數(shù)據(jù)數(shù)量計(jì)數(shù)器 , 存放傳送數(shù)據(jù)的數(shù)量,由 CPU寫入其初值,以后每傳送一個(gè)字,該計(jì)數(shù)器減, 當(dāng)計(jì)數(shù)到時(shí),表示這批數(shù)據(jù)傳送完畢,此時(shí) DMA應(yīng) 向 CPU發(fā)中斷請(qǐng)求信號(hào)。 DMA的控制 /狀態(tài)邏輯 , 由控制和狀態(tài)等邏輯 電路組成,用于修改主存地址計(jì)數(shù)器和數(shù)據(jù)數(shù)量計(jì)數(shù) 器,指定傳送功能(輸入還是輸出) ,協(xié)調(diào) CPU和 DMA 信號(hào)的配合與同步。 DMA控制器組成部分介紹 DMA請(qǐng)求

65、線路 ,接收并記憶設(shè)備送來的請(qǐng)求數(shù) 據(jù)傳送的 DMA請(qǐng)求信號(hào),然后向 CPU 發(fā)出總線占 用請(qǐng)求信號(hào), CPU接到這一請(qǐng)求信號(hào)并響應(yīng)后,送 回回答信號(hào), DMA的控制 /狀態(tài)邏輯接到這一回答 信號(hào),就取得了總線的使用權(quán),啟動(dòng)數(shù)據(jù)傳送。 數(shù)據(jù)緩沖寄存器 ,用于存放高速設(shè)備與主存之 間交換的數(shù)據(jù),也有的 DMA卡上不設(shè)置數(shù)據(jù)緩沖 寄存器,而由送出數(shù)據(jù)的一方,在指定的時(shí)刻直接 把數(shù)據(jù)放到數(shù)據(jù)總線上。 中斷機(jī)構(gòu) ,與通用接口中的中斷邏輯電路的組 成完全相同,中斷請(qǐng)求發(fā)生在數(shù)據(jù)數(shù)量計(jì)數(shù)器計(jì)數(shù) 到值的時(shí)刻,用于向 CPU報(bào)告本組數(shù)據(jù)傳送完成, 并等待新的傳送命令。 DMA接口 主 存 CPU DMA 接口

66、組成 DMA 控 制 邏 輯 中 斷 邏 輯 設(shè)備 HLDA AR WC DAR HRQ 中 斷 請(qǐng) 求 數(shù) 據(jù) 線 地 址 線 +1 - 1 溢出信號(hào) DREQ DACK BR DMA傳送數(shù)據(jù)的過程 傳送前預(yù)處理 : 由 CPU完成,向 DMA卡送入設(shè)備識(shí) 別信號(hào),啟動(dòng)設(shè)備,測(cè)試設(shè)備運(yùn)行狀態(tài),送入內(nèi)存地 址初值,傳送數(shù)據(jù)的數(shù)量, DMA的功能控制信號(hào)等, 然后, CPU繼續(xù)執(zhí)行原來的程序。 數(shù)據(jù)傳送 : 在 DMA卡控制下自動(dòng)完成,準(zhǔn)備好一個(gè)數(shù) 據(jù),取得總線控制權(quán),進(jìn)行數(shù)據(jù)傳送,修改卡上主存 地址,修改字?jǐn)?shù)計(jì)數(shù)器內(nèi)容且檢查其值是否為 0,不 為 0則繼續(xù)傳送,若已為 0則結(jié)束本次數(shù)據(jù)傳送過程。 傳送結(jié)束后處理 : 數(shù)據(jù)數(shù)量計(jì)數(shù)器為 0,則向 CPU發(fā) 中斷請(qǐng)求, CPU響應(yīng)之后進(jìn)行相應(yīng)處理。 預(yù)處理 : 主存起始地址 設(shè)備地址 傳送數(shù)據(jù)個(gè)數(shù) 啟動(dòng)設(shè)備 DMA DMA DMA 數(shù)據(jù)傳送 : 繼續(xù)執(zhí)行主程序 同時(shí)完成一批數(shù)據(jù)傳送 后處理 : 中斷服務(wù)程序 做 DMA 結(jié)束處理 繼續(xù)執(zhí)行主程序 CPU DMA 傳送過程示意 允許傳送? 主存地址送總線 數(shù)據(jù)送 I/O設(shè)備(或主存 ) 主存地

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!