.《數(shù)字電子技術》經典復習資料

上傳人:海盜 文檔編號:20029931 上傳時間:2021-01-27 格式:DOC 頁數(shù):27 大?。?72.37KB
收藏 版權申訴 舉報 下載
.《數(shù)字電子技術》經典復習資料_第1頁
第1頁 / 共27頁
.《數(shù)字電子技術》經典復習資料_第2頁
第2頁 / 共27頁
.《數(shù)字電子技術》經典復習資料_第3頁
第3頁 / 共27頁

本資源只提供3頁預覽,全部文檔請下載后查看!喜歡就下載吧,查找使用更方便

8 積分

下載資源

資源描述:

《.《數(shù)字電子技術》經典復習資料》由會員分享,可在線閱讀,更多相關《.《數(shù)字電子技術》經典復習資料(27頁珍藏版)》請在裝配圖網上搜索。

1、數(shù)字電子技術復習一、主要知識點總結和要求1數(shù)制、編碼其及轉換:要求:能熟練在10進制、2進制、8進制、16進制、8421BCD、格雷碼之間進行相互轉換。舉例1:(37.25)10= ( )2= ( )16= ( )8421BCD解:(37.25)10= ( 100101.01 )2= ( 25.4 )16= ( 00110111.00100101 )8421BCD2邏輯門電路:(1)基本概念1)數(shù)字電路中晶體管作為開關使用時,是指它的工作狀態(tài)處于飽和狀態(tài)和截止狀態(tài)。2)TTL門電路典型高電平為3.6 V,典型低電平為0.3 V。3)OC門和OD門具有線與功能。4)三態(tài)門電路的特點、邏輯功能和應

2、用。高阻態(tài)、高電平、低電平。5)門電路參數(shù):噪聲容限VNH或VNL、扇出系數(shù)No、平均傳輸時間tpd。要求:掌握八種邏輯門電路的邏輯功能;掌握OC門和OD門,三態(tài)門電路的邏輯功能;能根據(jù)輸入信號畫出各種邏輯門電路的輸出波形。舉例2:畫出下列電路的輸出波形。解:由邏輯圖寫出表達式為:,則輸出Y見上。3基本邏輯運算的特點:與 運 算:見零為零,全1為1;或 運 算:見1為1,全零為零;與非運算:見零為1,全1為零;或非運算:見1為零,全零為1;異或運算:相異為1,相同為零;同或運算:相同為1,相異為零;非 運 算:零 變 1, 1 變 零;要求:熟練應用上述邏輯運算。4. 數(shù)字電路邏輯功能的幾種表

3、示方法及相互轉換。真值表(組合邏輯電路)或狀態(tài)轉換真值表(時序邏輯電路):是由變量的所有可能取值組合及其對應的函數(shù)值所構成的表格。邏輯表達式:是由邏輯變量和與、或、非3種運算符連接起來所構成的式子??ㄖZ圖:是由表示變量的所有可能取值組合的小方格所構成的圖形。邏輯圖:是由表示邏輯運算的邏輯符號所構成的圖形。波形圖或時序圖:是由輸入變量的所有可能取值組合的高、低電平及其對應的輸出函數(shù)值的高、低電平所構成的圖形。狀態(tài)圖(只有時序電路才有):描述時序邏輯電路的狀態(tài)轉換關系及轉換條件的圖形稱為狀態(tài)圖。要求:掌握這五種(對組合邏輯電路)或六種(對時序邏輯電路)方法之間的相互轉換。5邏輯代數(shù)運算的基本規(guī)則

4、反演規(guī)則:對于任何一個邏輯表達式Y,如果將表達式中的所有“”換成“”,“”換成“”,“0”換成“1”,“1”換成“0”,原變量換成反變量,反變量換成原變量,那么所得到的表達式就是函數(shù)Y的反函數(shù)Y(或稱補函數(shù))。這個規(guī)則稱為反演規(guī)則。對偶規(guī)則:對于任何一個邏輯表達式Y,如果將表達式中的所有“”換成“”,“”換成“”,“0”換成“1”,“1”換成“0”,而變量保持不變,則可得到的一個新的函數(shù)表達式Y,Y稱為函Y的對偶函數(shù)。這個規(guī)則稱為對偶規(guī)則。要求:熟練應用反演規(guī)則和對偶規(guī)則求邏輯函數(shù)的反函數(shù)和對偶函數(shù)。舉例3:求下列邏輯函數(shù)的反函數(shù)和對偶函數(shù)解:反函數(shù): ;對偶函數(shù):6邏輯函數(shù)化簡要求:熟練掌握

5、邏輯函數(shù)的兩種化簡方法。公式法化簡:邏輯函數(shù)的公式化簡法就是運用邏輯代數(shù)的基本公式、定理和規(guī)則來化簡邏輯函數(shù)。舉例4:用公式化簡邏輯函數(shù):解:圖形化簡:邏輯函數(shù)的圖形化簡法是將邏輯函數(shù)用卡諾圖來表示,利用卡諾圖來化簡邏輯函數(shù)。(主要適合于3個或4個變量的化簡)舉例5:用卡諾圖化簡邏輯函數(shù): 解:畫出卡諾圖為則7觸發(fā)器及其特性方程1)觸發(fā)器的的概念和特點:觸發(fā)器是構成時序邏輯電路的基本邏輯單元。其具有如下特點:它有兩個穩(wěn)定的狀態(tài):0狀態(tài)和1狀態(tài);在不同的輸入情況下,它可以被置成0狀態(tài)或1狀態(tài),即兩個穩(wěn)態(tài)可以相互轉換;當輸入信號消失后,所置成的狀態(tài)能夠保持不變。具有記憶功能2)不同邏輯功能的觸發(fā)器

6、的特性方程為:RS觸發(fā)器:,約束條件為:RS0,具有置0、置1、保持功能。JK觸發(fā)器:,具有置0、置1、保持、翻轉功能。D觸發(fā)器: ,具有置0、置1功能。T觸發(fā)器: ,具有保持、翻轉功能。T觸發(fā)器: (計數(shù)工作狀態(tài)),具有翻轉功能。要求:能根據(jù)觸發(fā)器(重點是JK-FF和D-FF)的特性方程熟練地畫出輸出波形。舉例6:已知J,K-FF電路和其輸入波形,試畫出8脈沖產生和整形電路1)施密特觸發(fā)器是一種能夠把輸入波形整形成為適合于數(shù)字電路需要的矩形脈沖的電路。要求:會根據(jù)輸入波形畫輸出波形。特點:具有滯回特性,有兩個穩(wěn)態(tài),輸出僅由輸入決定,即在輸入信號達到對應門限電壓時觸發(fā)翻轉,沒有記憶功能。2)多

7、諧振蕩器是一種不需要輸入信號控制,就能自動產生矩形脈沖的自激振蕩電路。特點:沒有穩(wěn)態(tài),只有兩個暫穩(wěn)態(tài),且兩個暫穩(wěn)態(tài)能自動轉換。3)單穩(wěn)態(tài)觸發(fā)器在輸入負脈沖作用下,產生定時、延時脈沖信號,或對輸入波形整形。特點:電路有一個穩(wěn)態(tài)和一個暫穩(wěn)態(tài)。在外來觸發(fā)脈沖作用下,電路由穩(wěn)態(tài)翻轉到暫穩(wěn)態(tài)。暫穩(wěn)態(tài)是一個不能長久保持的狀態(tài),經過一段時間后,電路會自動返回到穩(wěn)態(tài)。要求:熟練掌握555定時器構成的上述電路,并會求有關參數(shù)(脈寬、周期、頻率)和畫輸出波形。舉例7:已知施密特電路具有逆時針的滯回特性,試畫出輸出波形。解:9A/D和D/A轉換器1)A/D和D/A轉換器概念:模數(shù)轉換器:能將模擬信號轉換為數(shù)字信號的

8、電路稱為模數(shù)轉換器,簡稱A/D轉換器或ADC。由采樣、保持、量化、編碼四部分構成。數(shù)模轉換器:能將數(shù)字信號轉換為模擬信號的電路稱為數(shù)模轉換器,簡稱D/A轉換器或DAC。由基準電壓、變換網絡、電子開關、反向求和構成。ADC和DAC是溝通模擬電路和數(shù)字電路的橋梁,也可稱之為兩者之間的接口。2)D/A轉換器的分辨率分辨率用輸入二進制數(shù)的有效位數(shù)表示。在分辨率為n位的D/A轉換器中,輸出電壓能區(qū)分2n個不同的輸入二進制代碼狀態(tài),能給出2n個不同等級的輸出模擬電壓。分辨率也可以用D/A轉換器的最小輸出電壓與最大輸出電壓的比值來表示。舉例8:10位D/A轉換器的分辨率為:3)A/D轉換器的分辨率A/D轉換

9、器的分辨率用輸出二進制數(shù)的位數(shù)表示,位數(shù)越多,誤差越小,轉換精度越高。舉例9:輸入模擬電壓的變化范圍為05V,輸出8位二進制數(shù)可以分辨的最小模擬電壓為5V2820mV;而輸出12位二進制數(shù)可以分辨的最小模擬電壓為5V2121.22mV。10常用組合和時序邏輯部件的作用和特點組合邏輯部件:編碼器、譯碼器、數(shù)據(jù)選擇器、數(shù)據(jù)分配器、半加器、全加器。時序邏輯部件:計數(shù)器、寄存器。要求:掌握編碼器、譯碼器、數(shù)據(jù)選擇器、數(shù)據(jù)分配器、半加器、全加器、計數(shù)器、寄存器的定義,功能和特點。舉例10:能對兩個1位二進制數(shù)進行相加而求得和及進位的邏輯電路稱為半加器。二、典型題型總結及要求(一)分析題型1組合邏輯電路分

10、析:分析思路:由邏輯圖寫出輸出邏輯表達式; 將邏輯表達式化簡為最簡與或表達式;由最簡與或表達式列出真值表;分析真值表,說明電路邏輯功能。要求:熟練掌握由門電路和組合邏輯器件74LS138、74LS153、74LS151構成的各種組合邏輯電路的分析。舉例11:分析如圖邏輯電路的邏輯功能。解:由邏輯圖寫出輸出邏輯表達式將邏輯表達式化簡為最簡與或表達式由最簡與或表達式列出真值表分析真值表,說明電路邏輯功能當輸入A、B、C中有2個或3個為1時,輸出Y為1,否則輸出Y為0。所以這個電路實際上是一種3人表決用的組合邏輯電路:只要有2票或3票同意,表決就通過。2時序邏輯電路分析:分析思路: 由電路圖寫出時鐘

11、方程、驅動方程和輸出方程; 將驅動方程代入觸發(fā)器的特征方程,確定電路狀態(tài)方程;分析計算狀態(tài)方程,列出電路狀態(tài)表;由電路狀態(tài)表畫出狀態(tài)圖或時序圖;分析狀態(tài)圖或時序圖,說明電路邏輯功能。要求:熟練掌握同步時序電路,比如同步加法計數(shù)器、減法計數(shù)器、環(huán)形計數(shù)器、扭環(huán)形計數(shù)器的分析。舉例12:如圖所示時序邏輯電路,試分析它的邏輯功能,驗證是否能自啟動,并畫出狀態(tài)轉換圖和時序圖。解:時鐘方程為:CP0=CP1=CP激勵方程為: 將激勵方程代入J-K-FF的特性方程可得狀態(tài)方程為由狀態(tài)方程做出狀態(tài)轉換表為:0 0010 1101 0001 100則狀態(tài)轉換圖和時序圖為: 可見電路具有自啟動特性,這是一個三進

12、制計數(shù)器。 (二)設計題型1組合邏輯電路設計:設計思路: 由電路功能描述列出真值表; 由真值表寫出邏輯表達式或卡若圖;將表達式化簡為最簡與或表達式;實現(xiàn)邏輯變換,畫出邏輯電路圖。要求:熟練掌握用常用門電路和組合邏輯器件74LS138、74LS153、74LS151設計實現(xiàn)各種組合邏輯電路。舉例13:某汽車駕駛員培訓班進行結業(yè)考試,有三名評判員,其中A為主評判員,B和C為副評判員,在評判時按照服從多數(shù)原則通過,但主評判員認為合格也通過,試用與非門實現(xiàn)該邏輯電路。(或用74138、74151、74153實現(xiàn))解:由題意可作出真值表為:用卡諾圖化簡為A B CY0 0 000 0 100 1 000

13、 1 111 0 011 0 111 1 011 1 11則輸出邏輯表達式為 用與非門實現(xiàn)邏輯電路圖為:2時序邏輯電路設計:設計思路:由設計要求畫出原始狀態(tài)圖或時序圖;簡化狀態(tài)圖,并分配狀態(tài);選擇觸發(fā)器類型,求時鐘方程、輸出方程、驅動方程;畫出邏輯電路圖;檢查電路能否自啟動。要求:熟練掌握同步時序電路,比如同步加法計數(shù)器、減法計數(shù)器的設計實現(xiàn)。舉例14:設計一個按自然態(tài)序變化的7進制同步加法計數(shù)器,計數(shù)規(guī)則為逢七進1,產生一個進位輸出。解:建立原始狀態(tài)圖:簡化狀態(tài)圖,并分配狀態(tài):已經是最簡,已是二進制狀態(tài);選擇觸發(fā)器類型,求時鐘方程、輸出方程、驅動方程:因需用3位二進制代碼,選用3個CP下降沿

14、觸發(fā)的JK觸發(fā)器,分別用FF0、FF1、FF2表示。由于要求采用同步方案,故時鐘方程為:輸出方程:狀態(tài)方程:畫出電路圖檢查電路能否自啟動:將無效狀態(tài)111代入狀態(tài)方程計算:可見111的次態(tài)為有效狀態(tài)000,電路能夠自啟動。3集成計數(shù)器和寄存器的應用:構成N進制計數(shù)器,構成環(huán)形計數(shù)器和扭環(huán)形計數(shù)器。要求:熟練掌握74LS160、74LS161、74LS162、74LS163四種集成計數(shù)器應用,比如分析或設計N進制計數(shù)器;熟練掌握74LS194應用,比如分析或設計環(huán)形計數(shù)器和扭環(huán)形計數(shù)器。1.用同步清零端或置數(shù)端歸零構成N進置計數(shù)器(1)寫出狀態(tài)SN-1的二進制代碼。(2)求歸零邏輯,即求同步清零

15、端或置數(shù)控制端信號的邏輯表達式。(3)畫連線圖。2.用異步清零端或置數(shù)端歸零構成N進置計數(shù)器(1)寫出狀態(tài)SN的二進制代碼。(2)求歸零邏輯,即求異步清零端或置數(shù)控制端信號的邏輯表達式。(3)畫連線圖。舉例15:用74LS161來構成一個十二進制計數(shù)器。解:(1)用異步清零端歸零:SNS121100則電路為:注:這里D0D3可隨意處理。(2)用同步置數(shù)端歸零:SNS111011則電路為:注:這里D0D3必須都接0。舉例16:用74LS160來構成一個48進制同步加法計數(shù)器。解:因74LS160為同步十進制計數(shù)器,要構成48進制同步加法計數(shù)器須用二片74LS160來實現(xiàn),現(xiàn)采用異步清零實現(xiàn): S

16、48=01001000,取高位片的QC和低位片的QD作歸零反饋信號。即清零端歸零信號為:,則電路連線圖為:(三)計算和畫圖題型:要求:會分析電路工作原理,說明電路功能;會根據(jù)題意計算電路參數(shù),或正確畫出電路波形。舉例17:如圖電路,完成下列問題:1)說明這是什么電路?2)求電路的輸出信號頻率f3)畫出VC及VO的波形。解:1) 這是一個由555定時器構成的多諧振蕩器。2) 其振蕩周期為則其頻率為 3)VC及VO的波形的波形為:三、基本概念練習一、判斷題1CMOS門電路為雙極型電路,而TTL門電路則為單極型電路。( )2.能夠實現(xiàn)“線與”功能的門電路是OC門或OD門。( )3施密特觸發(fā)器的特點是

17、只有一個穩(wěn)態(tài),需在外加信號作用下才能由穩(wěn)態(tài)翻轉到暫穩(wěn)態(tài)。( )4在時鐘脈沖的控制下,根據(jù)輸入信號T不同情況,凡是具有保持和翻轉功能的電路,稱為T觸發(fā)器。( )5.某電路任意時刻的輸出不僅取決于當時的輸入信號,而且與電路的原狀態(tài)有關,該電路為時序邏輯電路。( )6.若集成555定時器的第4腳接低電平時,不管輸入信號為任意值,定時器始終輸出高電平。( )二、填空題:1(44375)10= 2 = 8 = 16 = 8421BCD。2Y=AB(C+D),它的反函數(shù)= ;對偶函數(shù)= 。3或非邏輯運算特點是 ,異或邏輯運算特點為 。4.n-2 n線譯碼器的輸入代碼為 個,輸出代碼為 個。5.就單穩(wěn)態(tài)觸發(fā)

18、器和施密特觸發(fā)器而言,若要實現(xiàn)延時、定時的功能,應選用 ;若要實現(xiàn)波形變換、整形的功能,應選用 。6.一位二進制計數(shù)器可實現(xiàn) 分頻;n位二進制計數(shù)器,最后一個觸發(fā)器輸出的脈沖頻率是輸入頻率的 倍。三、選擇題1.八位二進制數(shù)所能表示的最大十進制數(shù)為( )。(a) 255 (b) 88 (c) 99 (d) 128 2.下圖中能實現(xiàn)邏輯運算的電路是( )。3.8421BCD十進制譯碼器,數(shù)字輸入信號端和數(shù)字輸出信號端分別有( )個。(a)4和16 (b) 3和8 (c) 3和10 (d) 4和10 4四個觸發(fā)器構成十進制加法計數(shù)器,若觸發(fā)器輸出從低位至高位分別為Q0、Q1、Q2、Q3,則輸出進位信

19、號C為( )(a) Q3Q1 (b) Q3Q2Q1Q0 (c) Q2Q1Q0 (d) Q3Q05能將輸入三角波信號轉換成矩形脈沖信號輸出的電路是( )。 (a) 多諧振蕩器 (b) AD轉換器(c) 單穩(wěn)態(tài)觸發(fā)器 (d) 施密特觸發(fā)器 6.若A/D轉換器輸入模擬電壓的變化范圍為05V,則輸出10位二進制數(shù)可以分辨的最小模擬電壓為( ) (a)1.5mV (b)2.4mV (c)4.9mV (d)6.5mV數(shù)電課程各章重點第一章 邏輯代數(shù)基礎知識要點一、 二進制、十進制、十六進制數(shù)之間的轉換;二進制數(shù)的原碼、反碼和補碼二、 邏輯代數(shù)的三種基本運算以及5種復合運算的圖形符號、表達式和真值表:與、或

20、、非三、 邏輯代數(shù)的基本公式和常用公式、基本規(guī)則邏輯代數(shù)的基本公式邏輯代數(shù)常用公式: 吸收律: 消去律: 多余項定律: 反演定律: 基本規(guī)則:反演規(guī)則和對偶規(guī)則,例1-5四、 邏輯函數(shù)的三種表示方法及其互相轉換邏輯函數(shù)的三種表示方法為:真值表、函數(shù)式、邏輯圖會從這三種中任一種推出其它二種,詳見例1-7五、 邏輯函數(shù)的最小項表示法:最小項的性質;例1-8六、 邏輯函數(shù)的化簡:要求按步驟解答1、 利用公式法對邏輯函數(shù)進行化簡2、 利用卡諾圖對邏輯函數(shù)化簡3、 具有約束條件的邏輯函數(shù)化簡例1.1 利用公式法化簡 解: 例1.2 利用卡諾圖化簡邏輯函數(shù) 約束條件為解:函數(shù)Y的卡諾圖如下: 第二章 門電

21、路知識要點一、三極管開、關狀態(tài)1、飽和、截止條件:截止:, 飽和:2、反相器飽和、截止判斷二、基本門電路及其邏輯符號與門、或非門、非門、與非門、OC門、三態(tài)門、異或;傳輸門、OC/OD門及三態(tài)門的應用三、門電路的外特性1、輸入端電阻特性:對TTL門電路而言,輸入端通過電阻接地或低電平時,由于輸入電流流過該電阻,會在電阻上產生壓降,當電阻大于開門電阻時,相當于邏輯高電平。習題2-7以下內容了解2、輸入短路電流IIS 輸入端接地時的輸入電流叫做輸入短路電流IIS。3、輸入高電平漏電流IIH 輸入端接高電平時輸入電流4、輸出高電平負載電流IOH5、輸出低電平負載電流IOL6、扇出系數(shù)NO 一個門電路

22、驅動同類門的最大數(shù)目第三章 組合邏輯電路知識要點一、 組合邏輯電路:任意時刻的輸出僅僅取決于該時刻的輸入,與電路原來的狀態(tài)無關二、 組合邏輯電路的分析方法(按步驟解題)三、 若干常用組合邏輯電路譯碼器(74LS138)全加器(真值表分析)數(shù)選器(74151和74153)四、 組合邏輯電路設計方法(按步驟解題)1、 用門電路設計 2、 用譯碼器、數(shù)據(jù)選擇器實現(xiàn)例3.1 試設計一個三位多數(shù)表決電路1、 用與非門實現(xiàn)2、 用譯碼器74LS138實現(xiàn)3、 用雙4選1數(shù)據(jù)選擇器74LS153解:1. 邏輯定義設A、B、C為三個輸入變量,Y為輸出變量。邏輯1表示同意,邏輯0表示不同意,輸出變量Y=1表示事

23、件成立,邏輯0表示事件不成立。2. 根據(jù)題意列出真值表如表3.1所示 表3.1 3. 經化簡函數(shù)Y的最簡與或式為:4. 用門電路與非門實現(xiàn) 函數(shù)Y的與非與非表達式為: 邏輯圖如下: 5. 用38譯碼器74LS138實現(xiàn)由于74LS138為低電平譯碼,故有由真值表得出Y的最小項表示法為: 用74LS138實現(xiàn)的邏輯圖如下:6. 用雙4選1的數(shù)據(jù)選擇器74LS153實現(xiàn) 74LS153內含二片雙4選1數(shù)據(jù)選擇器,由于該函數(shù)Y是三變量函數(shù),故只需用一個4選1即可,如果是4變量函數(shù),則需將二個4選1級連后才能實現(xiàn) 74LS153輸出Y1的邏輯函數(shù)表達式為: 三變量多數(shù)表決電路Y輸出函數(shù)為: 令 A=A

24、1,B=A0,C用D10D13表示,則 D10=0,D11=C,D12=C,D13=1 邏輯圖如下:注:實驗中1位二進制全加器設計:用138或153如何實現(xiàn)?1位二進制全減器呢?第四章 觸發(fā)器知識要點一、 觸發(fā)器:能儲存一位二進制信號的單元二、 各類觸發(fā)器框圖、功能表和特性方程RS: SR=0JK: D: T: T: 三、 各類觸發(fā)器動作特點及波形圖畫法基本RS觸發(fā)器:SD、RD每一變化對輸出均產生影響時鐘控制RS觸發(fā)器:在CP高電平期間R、S變化對輸出有影響 主從JK觸發(fā)器:在CP=1期間,主觸發(fā)器狀態(tài)隨R、S變化。CP下降沿,從觸發(fā)器按主觸發(fā)器狀態(tài)翻轉。在CP=1期間,JK狀態(tài)應保持不變,

25、否則會產生一次狀態(tài)變化。 T觸發(fā)器:Q是CP的二分頻 邊沿觸發(fā)器:觸發(fā)器的次態(tài)僅取決于CP(上升沿/下降沿)到達時輸入信號狀態(tài)。四、 觸發(fā)器轉換D觸發(fā)器和JK觸發(fā)器轉換成T和T觸發(fā)器第五章 時序邏輯電路知識要點一、時序邏輯電路的組成特點:任一時刻的輸出信號不僅取決于該時刻的輸入信號,還和電路原狀態(tài)有關。 時序邏輯電路由組合邏輯電路和存儲電路組成。二、同步時序邏輯電路的分析方法(按步驟解題) 邏輯圖寫出驅動方程寫出狀態(tài)方程寫出輸出方程畫出狀態(tài)轉換圖 (詳見例5-1)三、 典型時序邏輯電路1. 移位寄存器及移位寄存器型計數(shù)器。2. 用T觸發(fā)器構成二進制加法計數(shù)器構成方法。 T0=1 T1=Q0 T

26、i=Qi-1 Qi-2 Q1 Q0 3. 集成計數(shù)器框圖及功能表的理解 4位同步二進制計數(shù)器74LS161:異步清0(低電平),同步置數(shù),CP上升沿計數(shù),功能表 4位同步十進制計數(shù)器74LS160:同74LS161 同步十六進制加/減計數(shù)器74LS191:無清0端,只有異步預置端,功能表 雙時鐘同步十六進制加減計數(shù)器74LS193:有二個時鐘CPU,CPD,異步置0(H),異步預置(L)四、 時序邏輯電路的設計 (按步驟解題)1用觸發(fā)器組成同步計數(shù)器的設計方法及設計步驟(例5-3)邏輯抽象狀態(tài)轉換圖畫出次態(tài) 以及各輸出的卡諾圖利用卡諾圖求狀態(tài)方程和驅動方程、輸出方程檢查自啟動(如不能自啟動則應

27、修改邏輯)畫邏輯圖2 用集成計數(shù)器組成任意進制計數(shù)器的方法 置0法:如果集成計數(shù)器有清零端,則可控制清零端來改變計數(shù)長度。如果是異步清零端,則N進制計數(shù)器可用第N個狀態(tài)譯碼產生控制信號控制清零端,如果是同步清零,則用第N-1個狀態(tài)譯碼產生控制信號,產生控制信號時應注意清零端時高電平還是低電平。 置數(shù)法:控制預置端來改變計數(shù)長度。 如果異步預置,則用第N個狀態(tài)譯碼產生控制信號 如果同步預置,則用第N-1個狀態(tài)譯碼產生控制信號,也應注意預置端是高電平還是低電平。兩片間進位信號產生:有串行進位和并行進位二種方法詳見例5-5至5-8第六章 可編程邏輯器件知識要點一、半導體存儲器的分類及功能(了解)從功

28、能上分 二、半導體存儲器結構 (了解)ROM、RAM結構框圖以及兩者差異三、RAM存儲器容量擴展位擴展:增加數(shù)據(jù)位數(shù)字擴展:增加存儲單元第八章 脈沖波形產生和整形知識要點重點:555電路及其應用一、 用555組成多諧振蕩器1. 電路組成如圖6.5所示 圖6.52. 電路參數(shù):充電:(R1+R2)C 放電: R2C 周期:T=(R1+2R2)C ln2 占空比:二、 用555電路組成施密特觸發(fā)器1. 電路如圖6.1所示 2. 回差計算 , 回差 3. 對應Vi輸入波形、輸出波形如圖6.2所示三、 用555電路組成單穩(wěn)電路1. 電路如圖6.3所示 穩(wěn)態(tài)時 VO=0 。Vi2有負脈沖觸發(fā)時VO=1 。 2. 脈寬參數(shù)計算3. 波形如圖6.4所示第九章 數(shù)模和模數(shù)轉換知識要點一、 D/A 轉換器D/A 轉換器的一般形式為:VO=KDi,K為比例系數(shù),Di為輸入的二進制數(shù),D/A 轉換器的電路結構主要看有權電阻、權電流、權電容以及開關樹型D/A 轉換器。權電阻及倒T型電阻網絡D/A轉換器輸出電壓和輸入二進制數(shù)之間關系的推導過程。二、 A/D 轉換器1. A/D 轉換器基本原理 取樣定理:為保證取樣后的信號不失真恢復變量信號,設采樣頻率為,原信號最高頻率為,則。A/D 轉換器過程:采樣、保持、量化、編碼2. 典型A/D 轉換器的工作原理逐次逼近型A/D 轉換器原理計數(shù)型A/D 轉換器原理

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
4. 未經權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
5. 裝配圖網僅提供信息存儲空間,僅對用戶上傳內容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
6. 下載文件中如有侵權或不適當內容,請與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

相關資源

更多
正為您匹配相似的精品文檔
關于我們 - 網站聲明 - 網站地圖 - 資源地圖 - 友情鏈接 - 網站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網版權所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網僅提供信息存儲空間,僅對用戶上傳內容的表現(xiàn)方式做保護處理,對上載內容本身不做任何修改或編輯。若文檔所含內容侵犯了您的版權或隱私,請立即通知裝配圖網,我們立即給予刪除!