計算機組成原理第二章補充課件白中英編科學(xué)出版社

上傳人:痛*** 文檔編號:130276937 上傳時間:2022-08-04 格式:PPT 頁數(shù):133 大?。?.58MB
收藏 版權(quán)申訴 舉報 下載
計算機組成原理第二章補充課件白中英編科學(xué)出版社_第1頁
第1頁 / 共133頁
計算機組成原理第二章補充課件白中英編科學(xué)出版社_第2頁
第2頁 / 共133頁
計算機組成原理第二章補充課件白中英編科學(xué)出版社_第3頁
第3頁 / 共133頁

下載文檔到電腦,查找使用更方便

10 積分

下載資源

還剩頁未讀,繼續(xù)閱讀

資源描述:

《計算機組成原理第二章補充課件白中英編科學(xué)出版社》由會員分享,可在線閱讀,更多相關(guān)《計算機組成原理第二章補充課件白中英編科學(xué)出版社(133頁珍藏版)》請在裝配圖網(wǎng)上搜索。

1、任課教師:陳宇斌南昌航空大學(xué)計算機學(xué)院軟件工程系Email:Tel:13970933876鄭州大學(xué)鄭州大學(xué) 計算機組成原理計算機組成原理 補充內(nèi)容補充內(nèi)容2第一章 計算機系統(tǒng)概論第二章 運算方法和運算器(補:數(shù)字邏輯)第三章 存儲系統(tǒng)第四章 指令系統(tǒng) 第五章 中央處理器 第六章 總線系統(tǒng) 第七章 外圍設(shè)備 第八章 輸入輸出系統(tǒng)第九章 并行組織目錄鄭州大學(xué)鄭州大學(xué) 計算機組成原理計算機組成原理 補充內(nèi)容補充內(nèi)容3l參考書參考書王爾乾,數(shù)字邏輯與數(shù)字集成電路第2版,清華大學(xué)出版社,2002鄭州大學(xué)鄭州大學(xué) 計算機組成原理計算機組成原理 補充內(nèi)容補充內(nèi)容4&第一部分 邏輯代數(shù)&第二部分 邏輯門電路&

2、第三部分 組合邏輯電路&第四部分 時序邏輯電路數(shù)字邏輯目錄計算機組成原理數(shù)字邏輯計算機系統(tǒng)的軟硬件功能分配計算機系統(tǒng)的邏輯實現(xiàn)計算機組成的物理實現(xiàn)+V-V電壓p2p時間+V-V電壓p2p時間+V-V電壓p2p時間鄭州大學(xué)鄭州大學(xué) 計算機組成原理計算機組成原理 補充內(nèi)容補充內(nèi)容111.1.邏輯代數(shù)邏輯代數(shù)1.1 數(shù)字電路的基礎(chǔ)知識1.2 基本邏輯關(guān)系1.3 邏輯代數(shù)及運算規(guī)則 1.4 邏輯函數(shù)的表示法1.5 邏輯函數(shù)的化簡(12)1.1 數(shù)字電路的基礎(chǔ)知識數(shù)字電路的基礎(chǔ)知識數(shù)字信號和模擬信號數(shù)字信號和模擬信號電電子子電電路路中中的的信信號號模擬信號模擬信號數(shù)字信號數(shù)字信號時間連續(xù)的信號時間連續(xù)的

3、信號時間和幅度都是離散的時間和幅度都是離散的例:正弦波信號、鋸齒波信號等。例:正弦波信號、鋸齒波信號等。例:產(chǎn)品數(shù)量的統(tǒng)計、數(shù)字表盤例:產(chǎn)品數(shù)量的統(tǒng)計、數(shù)字表盤的讀數(shù)、數(shù)字電路信號等。的讀數(shù)、數(shù)字電路信號等。(13)模擬信號模擬信號tV(t)tV(t)數(shù)字信號數(shù)字信號高電平高電平低電平低電平上跳沿上跳沿下跳沿下跳沿(14)模擬電路主要研究:模擬電路主要研究:輸入、輸出信號間的大小、輸入、輸出信號間的大小、相位、失真等方面的關(guān)系。主要采用電路分相位、失真等方面的關(guān)系。主要采用電路分析方法,動態(tài)性能用微變等效電路分析。析方法,動態(tài)性能用微變等效電路分析。在模擬電路中,晶體管一般工作在線性放大區(qū);在

4、模擬電路中,晶體管一般工作在線性放大區(qū);在數(shù)字電路中,三極管工作在開關(guān)狀態(tài),即工在數(shù)字電路中,三極管工作在開關(guān)狀態(tài),即工作在飽和區(qū)和截止區(qū)。作在飽和區(qū)和截止區(qū)。數(shù)字電路主要研究:數(shù)字電路主要研究:電路輸出、輸入間的邏輯關(guān)電路輸出、輸入間的邏輯關(guān)系。主要的工具是邏輯代數(shù),電路的功能用真系。主要的工具是邏輯代數(shù),電路的功能用真值表、邏輯表達式及波形圖表示。值表、邏輯表達式及波形圖表示。模擬電路與數(shù)字電路比較模擬電路與數(shù)字電路比較1.電路的特點電路的特點2.研究的內(nèi)容研究的內(nèi)容(15)模擬電路研究的問題模擬電路研究的問題基本電路元件基本電路元件:基本模擬電路基本模擬電路:晶體三極管晶體三極管場效應(yīng)管

5、場效應(yīng)管集成電路運算放大器集成電路運算放大器 信號放大及運算信號放大及運算(信號放大、功率放大)信號放大、功率放大)信號處理(采樣保持、電壓比較、有源濾波)信號處理(采樣保持、電壓比較、有源濾波)信號發(fā)生(正弦波發(fā)生器、三角波發(fā)生器、信號發(fā)生(正弦波發(fā)生器、三角波發(fā)生器、)(16)數(shù)字電路研究的問題數(shù)字電路研究的問題基本電路元件基本電路元件基本數(shù)字電路基本數(shù)字電路 邏輯門電路邏輯門電路 觸發(fā)器觸發(fā)器 組合邏輯電路組合邏輯電路 時序電路(寄存器、計數(shù)器、脈沖發(fā)生器、時序電路(寄存器、計數(shù)器、脈沖發(fā)生器、脈沖整形電路)脈沖整形電路)A/D轉(zhuǎn)換器、轉(zhuǎn)換器、D/A轉(zhuǎn)換器轉(zhuǎn)換器(17)1.2 基本邏輯關(guān)

6、系基本邏輯關(guān)系一、一、“與與”邏輯邏輯與邏輯:與邏輯:決定事件發(fā)生的各條件中,所有條件決定事件發(fā)生的各條件中,所有條件都具備,事件才會發(fā)生(成立)都具備,事件才會發(fā)生(成立)規(guī)定規(guī)定:開關(guān)合為邏輯開關(guān)合為邏輯“1”開關(guān)斷為邏輯開關(guān)斷為邏輯“0”燈亮為邏輯燈亮為邏輯“1”燈滅為邏輯燈滅為邏輯“0”EFAB邏輯邏輯:指事物的前因和后果所遵循的規(guī)律:指事物的前因和后果所遵循的規(guī)律邏輯狀態(tài)邏輯狀態(tài):邏輯:邏輯“真真”為為“”,邏輯,邏輯“假假”為為“”基本邏輯關(guān)系基本邏輯關(guān)系:與:與 (and)或或(or)非非(not)(18)&ABF邏輯符號:邏輯符號:邏輯式:邏輯式:FA B邏輯乘法邏輯乘法邏輯與

7、邏輯與真值表特點真值表特點:任任0 則則0,全全1則則1與邏輯運算規(guī)則:與邏輯運算規(guī)則:0 0=0 0 1=01 0=0 1 1=1EFAB真值表真值表AFB000100010111(19)二、二、“或或”邏輯邏輯AEFB或邏輯或邏輯:決定事件發(fā)生的各條件中,有一個或一個決定事件發(fā)生的各條件中,有一個或一個以上的條件具備,事件就會發(fā)生(成立)以上的條件具備,事件就會發(fā)生(成立)規(guī)定規(guī)定:開關(guān)合為邏輯開關(guān)合為邏輯“1”開關(guān)斷為邏輯開關(guān)斷為邏輯“0”燈亮為邏輯燈亮為邏輯“1”燈滅為邏輯燈滅為邏輯“0”(20)1ABF邏輯符號:邏輯符號:邏輯式:邏輯式:FAB邏輯加法邏輯加法邏輯或邏輯或真值表特點:

8、真值表特點:任任1 則則1,全全0則則0?;蜻壿嬤\算規(guī)則或邏輯運算規(guī)則:0+0=0 0+1=11+0=1 1+1=1AEFB真值表真值表AFB000101011111(21)三、三、“非非”邏邏輯輯“非非”邏輯:邏輯:決定事件發(fā)生的條件只有一個,條件決定事件發(fā)生的條件只有一個,條件不具備時事件發(fā)生(成立),條件具備不具備時事件發(fā)生(成立),條件具備時事件不發(fā)生。時事件不發(fā)生。規(guī)定規(guī)定:開關(guān)合為邏輯開關(guān)合為邏輯“1”開關(guān)斷為邏輯開關(guān)斷為邏輯“0”燈亮為邏輯燈亮為邏輯“1”燈滅為邏輯燈滅為邏輯“0”AEFR(22)邏輯符號:邏輯符號:邏輯非邏輯非邏輯反邏輯反AF0110真值表真值表AEFR真值表特

9、點真值表特點:1則則0,0則則1。AF 邏輯式:邏輯式:運算規(guī)則:運算規(guī)則:10,01AF1(23)四、幾種常用的邏輯關(guān)系邏輯四、幾種常用的邏輯關(guān)系邏輯“與與”、“或或”、“非非”是三種基本的邏輯是三種基本的邏輯關(guān)系,任何其它的邏輯關(guān)系都可以以它們?yōu)榛P(guān)系,任何其它的邏輯關(guān)系都可以以它們?yōu)榛A(chǔ)表示。礎(chǔ)表示。BAF與非:與非:條件條件A、B都具備,都具備,則則F 不發(fā)生不發(fā)生&ABF其他幾種常用的邏輯關(guān)系如下表:其他幾種常用的邏輯關(guān)系如下表:(24)BAF或非:或非:條件條件A、B任一具備,任一具備,則則F 不發(fā)生不發(fā)生 1ABFBABABAF 異或:異或:條件條件A、B有一個具有一個具備,另一

10、個不備,另一個不具備則具備則F 發(fā)生發(fā)生=1ABF同或:同或:條件條件A、B相同,則相同,則F 發(fā)生發(fā)生=1ABFBABAABF (25)基本邏輯關(guān)系小結(jié)&ABFABF11FA&ABFABF1=1ABF表示式表示式F=ABF=A+BF=A BAF ABF BAFABFABF國標(biāo)國標(biāo)慣用慣用國外國外與與或或非非與非與非或非或非異或異或邏輯邏輯符號符號ABFABF AFAFAABFBFABF ABFABFABF(26)與或非門與或非門CDAB+ABCDFABCDF&1&(27)1.3 邏輯代數(shù)及運算規(guī)則邏輯代數(shù)及運算規(guī)則邏輯代數(shù)邏輯代數(shù):二進制運算的基礎(chǔ)。:二進制運算的基礎(chǔ)。應(yīng)用代數(shù)方法研究邏輯問

11、題。由英國數(shù)應(yīng)用代數(shù)方法研究邏輯問題。由英國數(shù)學(xué)家布爾學(xué)家布爾(Boole)和德和德.摩根于摩根于1847年提出,又叫年提出,又叫布爾代數(shù),開關(guān)代數(shù)。布爾代數(shù),開關(guān)代數(shù)。(28)1.3 邏輯代數(shù)及運算規(guī)則邏輯代數(shù)及運算規(guī)則數(shù)字電路要研究的是電路的輸入輸出之間的數(shù)字電路要研究的是電路的輸入輸出之間的邏輯關(guān)系,所以數(shù)字電路又稱邏輯關(guān)系,所以數(shù)字電路又稱邏輯電路邏輯電路,相應(yīng)的,相應(yīng)的研究工具是研究工具是邏輯代數(shù)(布爾代數(shù))邏輯代數(shù)(布爾代數(shù))。在邏輯代數(shù)中,邏輯函數(shù)的變量只能取兩個在邏輯代數(shù)中,邏輯函數(shù)的變量只能取兩個值(值(二值變量二值變量),即),即0和和1,中間值沒有意義。,中間值沒有意義。

12、0和和1表示兩個對立的邏輯狀態(tài),不是數(shù)值表示兩個對立的邏輯狀態(tài),不是數(shù)值0和和1v邏輯變量邏輯變量具有邏輯屬性的變量具有邏輯屬性的變量v邏輯表達式邏輯表達式也可稱作邏輯函數(shù),描述也可稱作邏輯函數(shù),描述邏輯自邏輯自變量和邏輯因變量之間的邏輯關(guān)系變量和邏輯因變量之間的邏輯關(guān)系(29)1.3.1 邏輯代數(shù)的基本運算規(guī)則邏輯代數(shù)的基本運算規(guī)則加運算規(guī)則加運算規(guī)則:0+0=0 ,0+1=1,1+0=1,1+1=1乘運算規(guī)則乘運算規(guī)則:00=0 01=0 10=0 11=1非運算規(guī)則非運算規(guī)則:1001 AA 0,1,00 AAAAAAAA1,11,0 AAAAAAAA(30)1.3.2 邏輯代數(shù)的運算規(guī)

13、律邏輯代數(shù)的運算規(guī)律一、交換律一、交換律二、結(jié)合律二、結(jié)合律三、分配律三、分配律A+B=B+AA B=B AA+(B+C)=(A+B)+C=(A+C)+BA(B C)=(A B)CA(B+C)=A B+A CA+B C=(A+B)(A+C)(31)求證求證:(分配律第(分配律第2條)條)A+BC=(A+B)(A+C)證明證明:右邊右邊=(A+B)(A+C)=AA+AB+AC+BC ;分配律分配律=A+A(B+C)+BC ;結(jié)合律結(jié)合律,AA=A=A(1+B+C)+BC ;結(jié)合律結(jié)合律=A 1+BC ;1+B+C=1=A+BC ;A 1=1=左邊左邊(32)四、吸收規(guī)則四、吸收規(guī)則1.原變量原變

14、量的吸收:的吸收:A+AB=A證明:證明:A+AB=A(1+B)=A1=A利用運算規(guī)則可以對邏輯式進行化簡。利用運算規(guī)則可以對邏輯式進行化簡。例如:例如:CDAB)FE(DABCDAB 被吸收被吸收吸收是指吸收多余(吸收是指吸收多余(冗余冗余)項,多余()項,多余(冗冗余余)因子被取消、去掉)因子被取消、去掉 被消化了。被消化了。長中含短,長中含短,留下短。留下短。(33)2.反變量反變量的吸收:的吸收:BABAA 證明:證明:BAABABAA BA)AA(BA 例如:例如:DEBCADCBCAA 被吸收被吸收長中含反,長中含反,去掉反。去掉反。字母上面沒有非運算符的叫做原變量字母上面沒有非運

15、算符的叫做原變量有非運算符的叫做反變量有非運算符的叫做反變量(34)五、反演定理五、反演定理BABABABA ABAB0001111010110110010111110000BA ABBA 可以用列真值表的方法證明:可以用列真值表的方法證明:德德 摩根摩根(De Morgan)定理:定理:(35)反演定理內(nèi)容:反演定理內(nèi)容:將函數(shù)式將函數(shù)式 F 中所有的中所有的 +變量與常數(shù)均取反變量與常數(shù)均取反(求反運算)(求反運算)互補運算互補運算1.運算順序:先括號運算順序:先括號 再乘法再乘法 后加法。后加法。2.不是一個變量上的反號不動。不是一個變量上的反號不動。注意注意:用處:用處:實現(xiàn)互補運算(

16、求反運算)。實現(xiàn)互補運算(求反運算)。新表達式:新表達式:F顯然:顯然:FF (變換時,原函數(shù)運算的先后順序不變變換時,原函數(shù)運算的先后順序不變)(反函數(shù),補函數(shù)反函數(shù),補函數(shù))(36)例題:例題:1)()(1 DCBAF01 DCBAF與或式與或式注意括號注意括號注意注意括號括號01 DCBAFDBDACBCAF 1(37)1.4 邏輯函數(shù)的表示法邏輯函數(shù)的表示法四種表示方法四種表示方法邏輯代數(shù)式邏輯代數(shù)式 (邏輯表示式邏輯表示式,邏輯函數(shù)式邏輯函數(shù)式)11&1ABY 邏輯電路圖邏輯電路圖:卡諾圖卡諾圖n2n個輸入變量個輸入變量 種組合種組合。真值表:真值表:將邏輯函數(shù)輸入變量取值的不同組合

17、將邏輯函數(shù)輸入變量取值的不同組合與所對應(yīng)的輸出變量值用列表的方式與所對應(yīng)的輸出變量值用列表的方式一一對應(yīng)列出的表格。一一對應(yīng)列出的表格。BABAF (38)將輸入、輸出的所有可能狀態(tài)一一對應(yīng)地列將輸入、輸出的所有可能狀態(tài)一一對應(yīng)地列出。出。n個變量可以有個變量可以有2n個輸入狀態(tài)。個輸入狀態(tài)。1.4.1 真值表真值表列真值表的方法:列真值表的方法:一般按二進制的順一般按二進制的順序,輸出與輸入狀序,輸出與輸入狀態(tài)一一對應(yīng),列出態(tài)一一對應(yīng),列出所有可能的狀態(tài)。所有可能的狀態(tài)。(39)1.4.2 邏輯函數(shù)式邏輯函數(shù)式邏輯代數(shù)式:邏輯代數(shù)式:把邏輯函數(shù)的輸入、輸出關(guān)把邏輯函數(shù)的輸入、輸出關(guān)系寫成與、

18、或、非等邏輯運算的組合系寫成與、或、非等邏輯運算的組合式。也稱為邏輯函數(shù)式,式。也稱為邏輯函數(shù)式,通常采用通常采用“與或與或”的形式。的形式。例:例:ABCCBACBACBACBAF 與普通代數(shù)不同的是,在邏輯代數(shù)中,不管是變與普通代數(shù)不同的是,在邏輯代數(shù)中,不管是變量還是函數(shù),其取值都只能是量還是函數(shù),其取值都只能是0或或1,并且這里的,并且這里的0和和1只表示兩種不同的狀態(tài),沒有數(shù)量的含義。只表示兩種不同的狀態(tài),沒有數(shù)量的含義。(40)一個邏輯函數(shù)的表達式可以有與或表達式、一個邏輯函數(shù)的表達式可以有與或表達式、或與表達式、與非或與表達式、與非-與非表達式、或非與非表達式、或非-或非或非表達

19、式、與或非表達式表達式、與或非表達式5種表示形式種表示形式一種形式的函數(shù)表達式相應(yīng)于一種邏輯電路。盡一種形式的函數(shù)表達式相應(yīng)于一種邏輯電路。盡管一個邏輯函數(shù)表達式的各種表示形式不同,但管一個邏輯函數(shù)表達式的各種表示形式不同,但邏輯功能是相同的邏輯功能是相同的(1)與或表達式:ACBAY(2)或與表達式:Y)(CABA(3)與非-與非表達式:Y ACBA(4)或非-或非表達式:YCABA(5)與或非表達式:YCABA(41)邏輯函數(shù)的標(biāo)準形式&邏輯函數(shù)可以表示為邏輯函數(shù)可以表示為最小項最小項之和的形式之和的形式(與或表達式)或者(與或表達式)或者最大項最大項之積的形式之積的形式(或與表達式)(或

20、與表達式)&應(yīng)用最多的是最小項之和的形式,也叫應(yīng)用最多的是最小項之和的形式,也叫最小項標(biāo)準式最小項標(biāo)準式。&最小項也是卡諾圖化簡的基礎(chǔ)。最小項也是卡諾圖化簡的基礎(chǔ)。BACK(42)最小項(MinTerm)邏輯函數(shù)有邏輯函數(shù)有n個變量,由它們組成的具有個變量,由它們組成的具有n個變量的乘積項中,每個變量以原變量或個變量的乘積項中,每個變量以原變量或反變量的形式出現(xiàn)且僅出現(xiàn)一次,這個乘反變量的形式出現(xiàn)且僅出現(xiàn)一次,這個乘積項為最小項。積項為最小項。N個變量有個變量有2n個最小項。個最小項。例如:n=3,對A、B、C,有8個最小項ABCBCACBACBACABCBACBACBA(43)最小項(續(xù))對

21、任意最小項,只有一組變量取值使它的值為1,其他取值使該最小項為0 為方便起見,將最小項表示為min=3的8個最小項為:ABCmBCAmCBAmCBAmCABmCBAmCBAmCBAm76543210(44)最小項(續(xù))任何邏輯函數(shù)均可表示為唯一的一組最小項之和任何邏輯函數(shù)均可表示為唯一的一組最小項之和的形式,稱為標(biāo)準的與或表達式的形式,稱為標(biāo)準的與或表達式 某一最小項不是包含在某一最小項不是包含在F的原函數(shù)中,就是包含在的原函數(shù)中,就是包含在F的反函數(shù)中的反函數(shù)中 例:)7,6,4,2()()(34726mmmmmCBAABCCBABCACBABCAACCBACBABCBAF(45)最大項(M

22、axTerm)n個變量組成的或項,每個變量以原變量或反變量的形式出現(xiàn)且僅出現(xiàn)一次,則稱這個或項為最大項例如:n=3的最大項為CBAMCBAMCBAMCBAMCBAMCBAMCBAMCBAM76543210(46)最大項(續(xù))對任意一個最大項,只有一組變量取值使它的值為0,而變量的其他取值使該項為1將最大項記作Mi任何一個邏輯函數(shù)均可表示為唯一的一組最大項之積,稱為標(biāo)準的或與表達式n個變量全體最大項之積必為“0”某個最大項不是含在F的原函數(shù)中,就是在F的反函數(shù)中(47)最大項(續(xù))例如:)4,1,0()()()()()()()(3140MMMMCBACBACBACBACCBACBABAFBACK

23、(48)1.4.3 卡諾圖卡諾圖卡諾圖的構(gòu)成:卡諾圖的構(gòu)成:將將n個輸入變量的全部最小項用個輸入變量的全部最小項用小方塊陣列圖表示,并且將邏輯相鄰的最小小方塊陣列圖表示,并且將邏輯相鄰的最小項放在相鄰的幾何位置上,所得到的陣列圖項放在相鄰的幾何位置上,所得到的陣列圖就是就是n變量的卡諾圖。變量的卡諾圖。最小項:最小項:構(gòu)成邏輯函數(shù)的基本單元。對應(yīng)于輸入變構(gòu)成邏輯函數(shù)的基本單元。對應(yīng)于輸入變量的每一種組合。量的每一種組合。變量賦值為變量賦值為1時用該變量表示(時用該變量表示(原變量原變量)變量賦值為變量賦值為0時用該變量的反來表示(時用該變量的反來表示(反變量反變量)邏輯相鄰:邏輯相鄰:若兩個最

24、小項只有一個變量以原、反區(qū)若兩個最小項只有一個變量以原、反區(qū)別,其他變量均相同,則稱這兩個最小項邏輯別,其他變量均相同,則稱這兩個最小項邏輯相鄰。相鄰。(49)最小項最小項:輸入變量的每一種組合。輸入變量的每一種組合。A B Y 0 0 1 0 1 1 1 0 1 1 1 0AB01010111輸出變量輸出變量Y的值的值輸入變量輸入變量二輸入變量卡諾圖二輸入變量卡諾圖卡諾圖的每一個方塊(最小項)代表一種輸入組卡諾圖的每一個方塊(最小項)代表一種輸入組合,并且把對應(yīng)的輸入組合注明在陣列圖的上方合,并且把對應(yīng)的輸入組合注明在陣列圖的上方和左方。和左方。(50)1.4.4 邏輯圖邏輯圖把相應(yīng)的邏輯關(guān)

25、系用邏輯符號和連線表示把相應(yīng)的邏輯關(guān)系用邏輯符號和連線表示出來,就構(gòu)成了邏輯圖。出來,就構(gòu)成了邏輯圖。&AB&CD 1FF=AB+CD(51)1.4.5 邏輯函數(shù)四種表示方式的相互轉(zhuǎn)換邏輯函數(shù)四種表示方式的相互轉(zhuǎn)換一、邏輯電路圖一、邏輯電路圖邏輯代數(shù)式邏輯代數(shù)式BABY=A B+ABA BA1&AB&11(52)二、真值表二、真值表卡諾圖卡諾圖 A B Y 0 0 1 0 1 1 1 0 1 1 1 0二變量卡諾圖二變量卡諾圖真值表真值表AB10101110(53)三、真值表、卡諾圖三、真值表、卡諾圖邏輯代數(shù)式邏輯代數(shù)式方法:方法:將真值表或卡諾圖中為將真值表或卡諾圖中為1的的項相加,寫成項相

26、加,寫成“與或式與或式”真值表真值表 A B Y 0 0 1 0 1 1 1 0 1 1 1 0AB0 1010111AB實際上實際上這這是與非門的真值表是與非門的真值表:YAB故故此邏輯代數(shù)式并非是最簡單的形式,此邏輯代數(shù)式并非是最簡單的形式,需要需要化簡化簡ABABBABABAY (54)1.5 邏輯函數(shù)的化簡邏輯函數(shù)的化簡最簡與或式最簡與或式乘積項的乘積項的項數(shù)最少。項數(shù)最少。每個乘積項中每個乘積項中變量個數(shù)最少。變量個數(shù)最少。邏輯函數(shù)化簡的意義:邏輯表達式越簡單,實邏輯函數(shù)化簡的意義:邏輯表達式越簡單,實現(xiàn)它的電路越簡單,電路工作越穩(wěn)定可靠?,F(xiàn)它的電路越簡單,電路工作越穩(wěn)定可靠。利用邏

27、輯代數(shù)的基本公式利用邏輯代數(shù)的基本公式邏輯函數(shù)的公式化簡法就是運用邏輯代數(shù)的基本公式、邏輯函數(shù)的公式化簡法就是運用邏輯代數(shù)的基本公式、定理和規(guī)則來化簡邏輯函數(shù)。定理和規(guī)則來化簡邏輯函數(shù)。利用卡諾圖化簡利用卡諾圖化簡卡諾圖適用于輸入變量為卡諾圖適用于輸入變量為3、4個的邏輯代數(shù)式的個的邏輯代數(shù)式的化簡;化簡過程比公式法簡單直觀。化簡;化簡過程比公式法簡單直觀。(55)利用邏輯代數(shù)的基本公式利用邏輯代數(shù)的基本公式例例1:ABAC)BC(A)BCB(AABCBA)CC(ABCBAABCCABCBAF 反變量吸收反變量吸收提出提出AB=1提出提出A(56)例例2:CBBCBAABF )(CBBCBAA

28、B )(反演反演CBAABCCCBAAB )()(配項配項CBBCAABCCBACBAAB 被吸收被吸收被吸收被吸收CBBBCAAB )(CBCAAB 利利用用邏邏輯輯代代數(shù)數(shù)的的基基本本公公式式(57)卡諾圖化簡的步驟 按照循環(huán)碼規(guī)律指定卡諾圖變量取值;按照循環(huán)碼規(guī)律指定卡諾圖變量取值;在函數(shù)最小項對應(yīng)的小方塊填在函數(shù)最小項對應(yīng)的小方塊填“1”1”,其他方塊填,其他方塊填“0”0”;合并相鄰填合并相鄰填“1”1”的小方塊,兩個方塊合并消去一的小方塊,兩個方塊合并消去一個變量(一維塊);個變量(一維塊);4 4個方塊合并消去兩個變量個方塊合并消去兩個變量(二維塊);(二維塊);合并過程中先找大

29、圈合并,圈越大消去的變量越多;合并過程中先找大圈合并,圈越大消去的變量越多;使每一最小項至少被合并包含過一次;每個合并的使每一最小項至少被合并包含過一次;每個合并的圈中,至少要有一個圈中,至少要有一個“1”1”沒有被圈過,否則這個沒有被圈過,否則這個圈就是多余的。圈就是多余的。鄭州大學(xué)鄭州大學(xué) 計算機組成原理計算機組成原理 補充內(nèi)容補充內(nèi)容582.2.邏輯門電路邏輯門電路2.1 概述2.2 門電路的實現(xiàn)2.3 集成電路 2.4 OC門2.5 三態(tài)門(59)2.1 概述概述門電路:門電路:實現(xiàn)基本邏輯運算和常用復(fù)合邏輯運算的實現(xiàn)基本邏輯運算和常用復(fù)合邏輯運算的電子電路(邏輯器件)電子電路(邏輯器

30、件)門電路的主要類型:門電路的主要類型:與門、或門、與非門、或非與門、或門、與非門、或非門、異或門等。門、異或門等。門電路的輸出狀態(tài)與賦值對應(yīng)關(guān)系:門電路的輸出狀態(tài)與賦值對應(yīng)關(guān)系:正邏輯:正邏輯:高電位對應(yīng)高電位對應(yīng)“1”;低電位對應(yīng);低電位對應(yīng)“0”。混合邏輯:混合邏輯:輸入用正邏輯、輸出用負邏輯;或者輸輸入用正邏輯、輸出用負邏輯;或者輸入用負邏輯、輸出用正邏輯。入用負邏輯、輸出用正邏輯。一般采用一般采用正邏輯正邏輯負邏輯:負邏輯:高電位對應(yīng)高電位對應(yīng)“0”;低電位對應(yīng);低電位對應(yīng)“1”。(60)負邏輯門符號畫法負邏輯門符號畫法 在對應(yīng)的輸入端、輸出端上加一個小圓圈在對應(yīng)的輸入端、輸出端上加

31、一個小圓圈O(表示相反的含義)加以區(qū)別(表示相反的含義)加以區(qū)別 小圓圈當(dāng)作非號,一條線兩端同時加上或消去小圓圈當(dāng)作非號,一條線兩端同時加上或消去小圓圈邏輯功能不變小圓圈邏輯功能不變 一條線上小圓圈從一端可移到另一端,其邏輯一條線上小圓圈從一端可移到另一端,其邏輯功能不變功能不變 在一個邏輯符號的輸入端和輸出端同時加上在一個邏輯符號的輸入端和輸出端同時加上(或去掉)小圓圈,并將加小圓圈的門如是與(或去掉)小圓圈,并將加小圓圈的門如是與門改為或門,如是或門改為與門,其邏輯功能門改為或門,如是或門改為與門,其邏輯功能不變不變(61)門門(電子開關(guān)電子開關(guān))滿足一定條件時,電路允滿足一定條件時,電路

32、允 許信號通過許信號通過 開關(guān)接通開關(guān)接通。開門狀態(tài):開門狀態(tài):關(guān)門狀態(tài):關(guān)門狀態(tài):條件不滿足時,信號通不條件不滿足時,信號通不過過 開關(guān)斷開開關(guān)斷開。(62)100VVcc在數(shù)字電路中,對電壓值為多少并不重要,在數(shù)字電路中,對電壓值為多少并不重要,只要能判斷高低電平即可。只要能判斷高低電平即可。K開開-VO輸出高電平,對應(yīng)輸出高電平,對應(yīng)“1”K合合-VO輸出低電平,對應(yīng)輸出低電平,對應(yīng)“0”VOKVccR V V(63)開關(guān)開關(guān)作用作用二極管二極管反向截止:反向截止:開關(guān)接通開關(guān)接通開關(guān)斷開開關(guān)斷開三極管三極管(C,E)飽和區(qū):飽和區(qū):截止區(qū):截止區(qū):開關(guān)接通開關(guān)接通CEB開關(guān)斷開開關(guān)斷開

33、 正向?qū)ǎ赫驅(qū)ǎ篊EB(64)2.2 門電路的實現(xiàn)門電路的實現(xiàn)1.分立元件門電路分立元件門電路體積大、工作不可靠體積大、工作不可靠需要不同電源需要不同電源各種門的輸入、輸出電平不匹配各種門的輸入、輸出電平不匹配2.集成電路集成電路TTL型門電路型門電路輸入和輸出端結(jié)構(gòu)都采用半導(dǎo)體晶體管(輸入和輸出端結(jié)構(gòu)都采用半導(dǎo)體晶體管(TTL:Transistor-Transistor Logic)優(yōu)點是開關(guān)速度較高,抗干擾能力較強,帶負載的優(yōu)點是開關(guān)速度較高,抗干擾能力較強,帶負載的能力也比較強,缺點是功耗較大能力也比較強,缺點是功耗較大缺點缺點(65)金屬金屬-氧化物氧化物-半導(dǎo)體場效應(yīng)管半導(dǎo)體場

34、效應(yīng)管MOS(Metel-Oxide-Semiconductor Field Effect Transister)缺點:缺點:工作速度比工作速度比TTL低低 2.電壓控制元件,靜態(tài)功耗小電壓控制元件,靜態(tài)功耗小3.允許電源電壓范圍寬(允許電源電壓范圍寬(3 18V)4.扇出系數(shù)大,抗噪聲容限大扇出系數(shù)大,抗噪聲容限大優(yōu)點優(yōu)點1.工藝簡單,集成度高工藝簡單,集成度高扇入系數(shù)扇入系數(shù):門電路允許的輸入端數(shù)目,一般不超過:門電路允許的輸入端數(shù)目,一般不超過8扇出系數(shù)扇出系數(shù):門的輸出端能連接的下一級輸入端的個數(shù),一般為:門的輸出端能連接的下一級輸入端的個數(shù),一般為83.集成電路集成電路MOS型門電路

35、型門電路(66)在一塊半導(dǎo)體基片上制作出一個完整的邏輯電路在一塊半導(dǎo)體基片上制作出一個完整的邏輯電路所需要的全部元件和連線。使用時接:電源、輸所需要的全部元件和連線。使用時接:電源、輸入和輸出。數(shù)字集成電路具有體積小、可靠性高、入和輸出。數(shù)字集成電路具有體積小、可靠性高、速度快、而且價格便宜的特點。速度快、而且價格便宜的特點。邏輯門數(shù)邏輯門數(shù)10個以下:個以下:小規(guī)模小規(guī)模集成電路集成電路(Small Scale Integration:SSI)邏輯門數(shù)邏輯門數(shù)1099個:個:中規(guī)模中規(guī)模集成電路集成電路(Medium Scale Integration:MSI)邏輯門數(shù)邏輯門數(shù)1009999

36、個:個:大規(guī)模大規(guī)模集成電路集成電路(Large Scale Integration:LSI)邏輯門數(shù)邏輯門數(shù)10000個以上:個以上:超大規(guī)模超大規(guī)模集成電路集成電路(Very Large Scale Integration:VLSI)2.3 集成電路集成電路IC(67)集成電路規(guī)模的劃分,目前在際上尚無嚴格、確切的定義。在發(fā)展過程中,逐漸形成一種近似的劃分意見,按芯片上所含邏輯門電路或晶體管的個數(shù)作為劃分標(biāo)志。一般人們將單塊芯片上包含100個元件或10個邏輯門以下的集成電路稱為小規(guī)模集成電路;而將元件數(shù)在100個以上、1000個以下,或邏輯門在10個以上、100個以下的稱為中規(guī)模集成電路;

37、門數(shù)有100100000個元件的稱大規(guī)模集成電路(LSI),門數(shù)超過5000個,或元件數(shù)高于10萬個的則稱超大規(guī)模集成電路(VLSI)。注:以上描述和前面的劃分方法不同。集成電路相關(guān)集成電路相關(guān)(68)集成電路的類型 二極管二極管-晶體管邏輯門(晶體管邏輯門(DTL)集集 晶體三極管晶體三極管-晶體三極管邏輯門晶體三極管邏輯門(TTL)成成 雙極型雙極型 射極耦合邏輯門射極耦合邏輯門(ECL)邏邏 集成注入邏輯門電路集成注入邏輯門電路()輯輯 N溝道溝道MOS門門(NMOS)門門 單極型單極型(MOS型型)P 溝道溝道MOS門門 (PMOS)互補互補MOS門門(CMOS)LI2(69)半導(dǎo)體三

38、極管(BJT-Bipolar Junction Transistor),又常稱為晶體管,是通過一定工藝,將兩個PN結(jié)結(jié)合在一起的器件。晶體管是用輸入電流控制輸出電流的電流控制器件,由導(dǎo)電載流子空穴和電子共同形成電流,因此又稱為雙極型晶體管或雙向晶體管。場效應(yīng)管是用輸入電場控制輸出電流的電壓控制器件,導(dǎo)電載流子只有空穴或電子一種,因此又稱為單極型晶體管或單向晶體管。在電子技術(shù)中一種由半導(dǎo)體材料制成的電子器件,可以用來控制電路中的電流。它分為雙極型和單極型兩種型式。雙極型晶體管由一塊分層的n-p-n或p-n-p半導(dǎo)體材料組成,具有發(fā)射極、基 極和集電極三條引接端,其電流是由正、負兩種載流子共同產(chǎn)生

39、的。在單極型晶體管中,引接端 叫作源極、柵極和漏極,其電流是由多數(shù)載流子產(chǎn)生的。三極管、晶體管、單極晶體管和雙極晶體管的區(qū)別三極管、晶體管、單極晶體管和雙極晶體管的區(qū)別(70)TTL門電路及改進74系列74xx普通標(biāo)準普通標(biāo)準TTL74LSxx 低功耗肖特基低功耗肖特基TTL74Sxx肖特基肖特基TTL(抗飽和抗飽和)74ALSxx先進低功耗肖特基先進低功耗肖特基TTL74ASxx先進肖特基先進肖特基TTL74Fxx高速高速TTL (71)74LS004個個2輸入與非門輸入與非門74LS00 的引腳排列圖VCC 3A 3B 3Y 4A 4B 4Y 1A 1B 1Y 2A 2B 2Y GND 1

40、4 13 12 11 10 9 874LS20 1 2 3 4 5 6 7VCC 2A 2B NC 2C 2D 2Y 1A 1B NC 1C 1D 1Y GND74LS20 的引腳排列圖 14 13 12 11 10 9 874LS00 1 2 3 4 5 6 77 4 L S 2 02個個4輸入與非門輸入與非門(72)74LS04 6個反相器個反相器 14 13 12 11 10 9 874LS04 1 2 3 4 5 6 7VCC 4A 4Y 5A 5Y 6A 6Y 1A 1Y 2A 2Y 3A 3Y GNDVCC(73)74LS02 4個個2輸入或非門輸入或非門 14 13 12 11

41、10 9 874LS02 1 2 3 4 5 6 7VCC 3Y 3B 3A 4Y 4B 4A 1Y 1B 1A 2Y 2B 3A GND+VCC(74)&ABEF&CD&G1&ABEF&CDG 能否能否“線與線與”?ABCDEFEFCDABFEG 答案:答案:TTL與非門不允許直接線與與非門不允許直接線與集電極開路的邏輯門(集電極開路的邏輯門(Open Collector)2.4 OC門門問題:問題:TTL與非門能否直接線與?與非門能否直接線與?(75)OC門可以實現(xiàn)門可以實現(xiàn)“線與線與”功能。功能。&UCCF1F2F3FRLF=F1F2F3&符號符號輸出端要接上拉負載電阻輸出端要接上拉負載

42、電阻 RL RL 和和UCC 可以外接可以外接ABF 國標(biāo)國標(biāo)ABF慣用慣用(76)OC門的應(yīng)用門的應(yīng)用 實現(xiàn)實現(xiàn)“線與線與”邏輯功能邏輯功能 實現(xiàn)電平轉(zhuǎn)換實現(xiàn)電平轉(zhuǎn)換 例如,把輸出高電平轉(zhuǎn)換為例如,把輸出高電平轉(zhuǎn)換為10V時,可將外時,可將外接的上拉電阻接到接的上拉電阻接到10V電源上電源上 用做驅(qū)動器用做驅(qū)動器 用用OC門來驅(qū)動指示燈,繼電器和脈沖變壓門來驅(qū)動指示燈,繼電器和脈沖變壓器等器等 實現(xiàn)總線傳輸實現(xiàn)總線傳輸(77)正常輸出端有兩種狀態(tài)正常輸出端有兩種狀態(tài)u高電平狀態(tài)高電平狀態(tài)u低電平狀態(tài)低電平狀態(tài) 三態(tài)門具有第三種狀態(tài)三態(tài)門具有第三種狀態(tài)u高阻狀態(tài)(懸空狀態(tài)、禁止?fàn)顟B(tài))高阻狀態(tài)(

43、懸空狀態(tài)、禁止?fàn)顟B(tài))u輸出阻抗很高(相當(dāng)于與其他電路無關(guān))輸出阻抗很高(相當(dāng)于與其他電路無關(guān))u不是高電平,也不是低電平不是高電平,也不是低電平u具有一個控制端具有一個控制端u控制端無效,輸出高阻狀態(tài)控制端無效,輸出高阻狀態(tài)2.5 三態(tài)門(三態(tài)門(TS門)門)(78)&ABFE符號符號輸輸出出高高阻阻0E 1E ABF 功能表功能表三態(tài)門的符號及功能表三態(tài)門的符號及功能表&ABFE符號符號輸輸出出高高阻阻1E0EABF 功能表功能表使能端使能端高電平高電平起作用起作用使能端使能端低電平低電平起作用起作用(79)E1E2E3公用總線公用總線三態(tài)門主要作為三態(tài)門主要作為TTL電路與電路與總線總線間

44、的間的接口電路接口電路。三態(tài)門的用途三態(tài)門的用途工作時,工作時,E1、E2、E3分時分時接入高電平接入高電平(80)三態(tài)門三態(tài)門(兩輸入與非兩輸入與非)ABE&ABE&ABE國標(biāo)國標(biāo)國外國外AFEAFEAFEEAF三態(tài)緩沖器三態(tài)緩沖器反相器反相器高電平有效高電平有效反相器反相器低電平有效低電平有效同相器同相器低電平有效低電平有效同相器同相器高電平有效高電平有效鄭州大學(xué)鄭州大學(xué) 計算機組成原理計算機組成原理 補充內(nèi)容補充內(nèi)容813.3.組合邏輯電路組合邏輯電路3.1 3.1 概述概述3.2 3.2 編碼器編碼器3.3 3.3 譯碼器譯碼器 3.4 3.4 加法器加法器3.5 3.5 數(shù)據(jù)選擇器數(shù)

45、據(jù)選擇器(82)3.1 概述概述邏輯電路邏輯電路組合組合邏輯電路邏輯電路時序時序邏輯電路邏輯電路功能:功能:輸出只取決于輸出只取決于 當(dāng)前的輸入。當(dāng)前的輸入。組成:組成:門電路,不存在門電路,不存在記憶元件。記憶元件。功能:功能:輸出取決于當(dāng)輸出取決于當(dāng)前的輸入和原前的輸入和原來的狀態(tài)。來的狀態(tài)。組成:組成:組合電路、記組合電路、記憶元件。憶元件。(83)組合電路的研究內(nèi)容:組合電路的研究內(nèi)容:分析:分析:設(shè)計:設(shè)計:給定給定 邏輯圖邏輯圖得到得到邏輯功能邏輯功能分析分析 給定給定邏輯功能邏輯功能畫出畫出 邏輯圖邏輯圖設(shè)計設(shè)計(84)3.2 編碼器編碼器所謂所謂編碼編碼就是賦予選定的一系列二進

46、制代碼以就是賦予選定的一系列二進制代碼以固定的含義。固定的含義。n個二進制代碼(個二進制代碼(n位二進制數(shù))有位二進制數(shù))有2n種種不同的組合,可以表示不同的組合,可以表示2n個信號。個信號。一、二進制編碼器一、二進制編碼器二進制編碼器的作用:二進制編碼器的作用:將一系列信號狀態(tài)編制成將一系列信號狀態(tài)編制成二進制代碼。二進制代碼。(85)例:例:用與非門組成三位二進制編碼器。用與非門組成三位二進制編碼器。-八線八線-三線編碼器三線編碼器設(shè)八個輸入端為設(shè)八個輸入端為I1 I8,八種狀態(tài),八種狀態(tài),與之對應(yīng)的輸出設(shè)為與之對應(yīng)的輸出設(shè)為F1、F2、F3,共三,共三位二進制數(shù)。位二進制數(shù)。設(shè)計編碼器的

47、過程與設(shè)計一般的組合設(shè)計編碼器的過程與設(shè)計一般的組合邏輯電路相同,首先要列出狀態(tài)表(即真邏輯電路相同,首先要列出狀態(tài)表(即真值表),然后寫出邏輯表達式并進行化簡,值表),然后寫出邏輯表達式并進行化簡,最后畫出邏輯圖最后畫出邏輯圖。(86)I1I2I3I4I5I6I7I8F3F2F10111111100010111111001110111110101110111101111110111100111110111011111110111011111110111真值表真值表86421IIIIF 8642IIII 87432IIIIF 87653IIIIF (87)I1I2I3I4I5I6I7I8&F3

48、F2F18-3 編碼器邏輯圖編碼器邏輯圖86421IIIIF 8642IIII 87432IIIIF 87653IIIIF (88)譯碼是編碼的逆過程,即將某二進制翻譯成電譯碼是編碼的逆過程,即將某二進制翻譯成電路的某種狀態(tài)。路的某種狀態(tài)。一、二進制譯碼器一、二進制譯碼器二進制譯碼器的作用:二進制譯碼器的作用:將將n種輸入的組合譯成種輸入的組合譯成2n種電路狀態(tài)。也叫種電路狀態(tài)。也叫n-2n線譯碼器。線譯碼器。譯碼器的輸入譯碼器的輸入 一組二進制代碼一組二進制代碼譯碼器的輸出譯碼器的輸出一組高低電平信號一組高低電平信號3.3 譯碼器譯碼器(89)&1Y0Y2Y3YA1A0S2-4線譯碼器線譯碼

49、器74LS139的內(nèi)部線路的內(nèi)部線路輸入輸入控制端控制端輸出輸出(90)74LS139的功能表的功能表A1A01XX11110000111001101101011010111110S0Y1Y2Y3Y“”表示低電平有效。表示低電平有效。(91)S1S10A11A10Y11Y12Y13Y10A11A10Y11Y12Y13Y1S20A21A20Y21Y22Y23Y2ccUGND3Y22Y21Y20Y21A20A2S274LS139管腳圖管腳圖一片一片139種含兩個種含兩個2-4譯碼器譯碼器(92)例:例:利用線譯碼器分時將采樣數(shù)據(jù)送入計算機。利用線譯碼器分時將采樣數(shù)據(jù)送入計算機。0Y1Y2Y3Y0A

50、1AS2-4線譯線譯碼器碼器ABCD三態(tài)門三態(tài)門三態(tài)門三態(tài)門三態(tài)門三態(tài)門三態(tài)門三態(tài)門AEBECEDE總線總線(93)0Y1Y2Y3Y0A1AS2-4線譯線譯碼器碼器ABCD三態(tài)門三態(tài)門三態(tài)門三態(tài)門三態(tài)門三態(tài)門三態(tài)門三態(tài)門AEBECEDE總線總線000全為全為1工作原理工作原理:(以:(以A0A1=00為例)為例)數(shù)據(jù)數(shù)據(jù)脫離總線脫離總線(94)3位二進制譯碼器位二進制譯碼器A2 A1 A0Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y70 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 11 0 0 0 0 0 0 00 1 0 0 0 0 0 00 0 1 0 0 0

51、 0 00 0 0 1 0 0 0 00 0 0 0 1 0 0 00 0 0 0 0 1 0 00 0 0 0 0 0 1 00 0 0 0 0 0 0 1真值表真值表輸輸入入:3位二進制代碼位二進制代碼輸輸出出:8個互斥的信號個互斥的信號(95)01270126012501240123012201210120AAAYAAAYAAAYAAAYAAAYAAAYAAAYAAAY&111 A2 A1 A0 Y7 Y6 Y5 Y4 Y3 Y2 Y1 Y0邏輯表達式邏輯表達式邏輯圖邏輯圖電路特點電路特點:與門組成的陣列:與門組成的陣列3 線-8 線譯碼器(96)集成二進制譯碼器集成二進制譯碼器74LS

52、138 16 15 14 13 12 11 10 974LS138 1 2 3 4 5 6 7 8VCC Y0 Y1 Y2 Y3 Y4 Y5 Y6A0 A1 A2 G2A G2B G1 Y7 GND74LS138 Y0 Y1 Y2 Y3 Y4 Y5 Y6Y7A0 A1 A2 G2A G2B G1Y0 Y1 Y2 Y3 Y4 Y5 Y6Y7A0 A1 A2 STB STC STA(a)引腳排列圖(b)邏輯功能示意圖A2、A1、A0為二進制譯碼輸入端,為譯碼輸出端(低電平有效),G1、為選通控制端。當(dāng)G11、時,譯碼器處于工作狀態(tài);當(dāng)G10、時,譯碼器處于禁止?fàn)顟B(tài)。07YYAG2BG2022BAG

53、G122BAGG(97)真值表真值表輸 入使 能選 擇輸 出G1 2GA2 A1 A001234567 YYYYYYYY 1 0 1 01 01 01 01 01 01 01 00 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 11 1 1 1 1 1 1 11 1 1 1 1 1 1 11 1 1 1 1 1 1 01 1 1 1 1 1 0 11 1 1 1 1 0 1 11 1 1 1 0 1 1 11 1 1 0 1 1 1 11 1 0 1 1 1 1 11 0 1 1 1 1 1 10 1 1 1 1 1 1 1輸輸入入:自然二進制碼:自然二進制碼輸輸出

54、出:低電平有效:低電平有效BAGGG222(98)1 1 0 11 0 0 1+舉例:舉例:A=1101,B=1001,計算計算A+B。011010011加法運算的基本規(guī)則加法運算的基本規(guī)則:(1)逢二進一。逢二進一。(2)最低位是兩個數(shù)最低位的疊加,不需考慮進位。最低位是兩個數(shù)最低位的疊加,不需考慮進位。(3)其余各位都是三個數(shù)相加,包括被加數(shù)、加數(shù)其余各位都是三個數(shù)相加,包括被加數(shù)、加數(shù)和低位來的進位。和低位來的進位。(4)任何位相加都產(chǎn)生兩個結(jié)果:本位和、向高位任何位相加都產(chǎn)生兩個結(jié)果:本位和、向高位的進位。的進位。用半加器實現(xiàn)用半加器實現(xiàn)用全加器實現(xiàn)用全加器實現(xiàn)3.4 加法器加法器(9

55、9)一、半加器一、半加器半加運算不考慮從低位來的進位半加運算不考慮從低位來的進位設(shè):設(shè):A-加數(shù);加數(shù);B-被加數(shù);被加數(shù);S-本位和;本位和;C-進位進位A B C S 0 0 0 0 0 1 0 1 1 0 0 1 1 1 1 0 真值表真值表BABABAS ABC (100)邏輯圖邏輯圖半加器半加器(HA)AiBiCiSi邏輯符號邏輯符號BABABAS ABC =1&ABSC慣用符號慣用符號AiBiSiCi+1國標(biāo)符號國標(biāo)符號CO(101)二、全加器:二、全加器:輸入:輸入:Ai-加數(shù);加數(shù);Bi-被加數(shù);被加數(shù);Ci-低位的進位低位的進位輸出:輸出:Si-本位和;本位和;Ci+1-進位

56、進位真值表真值表邏輯符號邏輯符號全加器全加器(FA)(FA)A Ai iB Bi iC Ci iS Si iC Ci+1i+1慣用符號慣用符號A Ai iB Bi iC Ci iS Si iC Ci+1i+1CICO國標(biāo)符號國標(biāo)符號(102)從一組數(shù)據(jù)中選擇一路信號進行傳輸?shù)碾娐?,從一組數(shù)據(jù)中選擇一路信號進行傳輸?shù)碾娐?,稱為稱為數(shù)據(jù)選擇器數(shù)據(jù)選擇器,又叫多路開關(guān),簡稱,又叫多路開關(guān),簡稱MUX(Multiplexer)控制信號控制信號輸入信號輸入信號輸出信號輸出信號數(shù)據(jù)選擇器類似一個多投開關(guān)。選擇哪一路信數(shù)據(jù)選擇器類似一個多投開關(guān)。選擇哪一路信號由相應(yīng)的一組控制信號控制。號由相應(yīng)的一組控制信號

57、控制。A0A1D3D2D1D0W3.5 數(shù)據(jù)選擇器數(shù)據(jù)選擇器(103)一位數(shù)據(jù)選擇器:一位數(shù)據(jù)選擇器:從從n個一位數(shù)據(jù)中選擇一個數(shù)據(jù)。個一位數(shù)據(jù)中選擇一個數(shù)據(jù)。m位數(shù)據(jù)選擇器:位數(shù)據(jù)選擇器:從從n個個m位數(shù)據(jù)中選擇一個數(shù)據(jù)。位數(shù)據(jù)中選擇一個數(shù)據(jù)。W3X3Y3W3X2Y2W3X1Y1W3X0Y0A控制信號控制信號四二選一選擇器四二選一選擇器n=2,m=4(104)四選一集成數(shù)據(jù)選擇器四選一集成數(shù)據(jù)選擇器74LS153輸輸入入輸輸出出A1A0W 10000D0010D1100D2110D3E功能表功能表控制端控制端:為為 或或 ,低電平有效。,低電平有效。EE1E2選擇端選擇端A1 A0:為兩個為

58、兩個4選選1數(shù)據(jù)選擇器共用。數(shù)據(jù)選擇器共用。其中其中鄭州大學(xué)鄭州大學(xué) 計算機組成原理計算機組成原理 補充內(nèi)容補充內(nèi)容1054.4.時序邏輯電路時序邏輯電路4.1 概述4.2 基本 RS 觸發(fā)器4.3 常用觸發(fā)器 4.4 寄存器和計數(shù)器4.5 PLD簡介(106)時序電路的特點:時序電路的特點:具有記憶功能。具有記憶功能。在數(shù)字電路中,凡是任一時刻的穩(wěn)定在數(shù)字電路中,凡是任一時刻的穩(wěn)定輸出不僅決定于該時刻的輸入,而且輸出不僅決定于該時刻的輸入,而且還和還和電路原來的狀態(tài)有關(guān)電路原來的狀態(tài)有關(guān)者,都叫做時序邏輯者,都叫做時序邏輯電路,簡稱電路,簡稱時序電路時序電路。組合邏輯電路組合邏輯電路存儲功能

59、存儲功能.XYZW4.1 概述概述時序電路的基本單元:時序電路的基本單元:觸發(fā)器。觸發(fā)器。(107)觸發(fā)器的功能:觸發(fā)器的功能:形象地說,形象地說,它具有它具有“一觸即發(fā)一觸即發(fā)”的的功能。在輸入信號的作用下,它能夠從一種狀功能。在輸入信號的作用下,它能夠從一種狀態(tài)態(tài)(0或或1)轉(zhuǎn)變成另一種狀態(tài)轉(zhuǎn)變成另一種狀態(tài)(1或或0)。觸發(fā)器的特點:觸發(fā)器的特點:有記憶功能的邏輯部件。輸出狀態(tài)有記憶功能的邏輯部件。輸出狀態(tài)不只與現(xiàn)時的輸入有關(guān),還與原來的輸出狀態(tài)不只與現(xiàn)時的輸入有關(guān),還與原來的輸出狀態(tài)有關(guān)。有關(guān)。觸發(fā)器的分類:觸發(fā)器的分類:按功能分:按功能分:有有R-S觸發(fā)器、觸發(fā)器、D型觸發(fā)器、型觸發(fā)器

60、、JK觸發(fā)器、觸發(fā)器、T型等;型等;按觸發(fā)方式劃分:按觸發(fā)方式劃分:有電平觸發(fā)方式、主從有電平觸發(fā)方式、主從觸發(fā)方式和邊沿觸發(fā)方式觸發(fā)方式和邊沿觸發(fā)方式。(108)兩個輸入端兩個輸入端4.2 基本基本 RS 觸發(fā)器觸發(fā)器&a&bQQDRDS反饋反饋兩個輸出端兩個輸出端反饋反饋正是由于正是由于引入反饋,引入反饋,才使電路具有才使電路具有記憶功能記憶功能!(109)輸入輸入RD=0,SD=1時時若原狀態(tài):若原狀態(tài):1Q0Q 11001010輸出仍保持:輸出仍保持:1Q0Q&a&bQQDRDS若原狀態(tài):若原狀態(tài):0Q1Q 01111010輸出變?yōu)椋狠敵鲎優(yōu)椋?Q0Q 置置“0”!&a&bQQDRDS

61、(110)輸入輸入RD=1,SD=0時時若原狀態(tài):若原狀態(tài):1Q0Q 10101001輸出變?yōu)椋狠敵鲎優(yōu)椋?Q1Q&a&bQQDRDS若原狀態(tài):若原狀態(tài):0Q1Q 00110101輸出保持:輸出保持:0Q1Q&a&bQQDRDS置置“1”!(111)輸入輸入RD=1,SD=1時時若原狀態(tài):若原狀態(tài):10111001輸出保持原狀態(tài):輸出保持原狀態(tài):0Q1Q 0Q1Q 若原狀態(tài):若原狀態(tài):1Q0Q 01110110輸出保持原狀態(tài):輸出保持原狀態(tài):1Q0Q&a&bQQDRDS&a&bQQDRDS保持!保持!(112)輸入輸入RD=0,SD=0時時0011輸出:全是輸出:全是1注意:注意:當(dāng)當(dāng)RD、S

62、D同時由同時由0變變?yōu)闉?時,翻轉(zhuǎn)快的門輸出變?yōu)闀r,翻轉(zhuǎn)快的門輸出變?yōu)?,另一個不得翻轉(zhuǎn)。因此,另一個不得翻轉(zhuǎn)。因此,該狀態(tài)為不定狀態(tài)。該狀態(tài)為不定狀態(tài)。&a&bQQDRDS基本觸發(fā)器的功能表基本觸發(fā)器的功能表QRD SD Q1 10 11 00 0保持原狀態(tài)保持原狀態(tài)0 11 0不定狀態(tài)不定狀態(tài)復(fù)位端復(fù)位端置位端置位端QDRDSQ邏輯符號邏輯符號(113)1.觸發(fā)器是雙穩(wěn)態(tài)器件,只要令觸發(fā)器是雙穩(wěn)態(tài)器件,只要令RD=SD=1,觸發(fā)器即保持原態(tài)。穩(wěn)態(tài)情況下,兩輸出觸發(fā)器即保持原態(tài)。穩(wěn)態(tài)情況下,兩輸出互補。一般定義互補。一般定義Q為觸發(fā)器的狀態(tài)。為觸發(fā)器的狀態(tài)。2.在控制端加入負脈沖,可以使觸發(fā)

63、器狀態(tài)變化。在控制端加入負脈沖,可以使觸發(fā)器狀態(tài)變化。SD端加入負脈沖,使端加入負脈沖,使Q1,SD稱為稱為“置位置位”或或“置置1”端。端。RD端加入負脈沖,使端加入負脈沖,使Q0,RD稱為稱為“復(fù)位復(fù)位”或或“清清0”端。端。小小 結(jié)結(jié)(114)同步同步RS觸發(fā)器觸發(fā)器QQRDSDabRDSDcdRSCP“同步同步”的含義:由時鐘的含義:由時鐘CP決定決定R、S能否對輸出能否對輸出端起控制作用。端起控制作用。直接清零端直接清零端直接置位端直接置位端輸出端輸出端輸入端輸入端RDSDRSCQQ(115)QQRDSDabRDSDcdRSCP直接清零端直接清零端直接置位端直接置位端直接清零端、置位

64、端的處理:直接清零端、置位端的處理:平時常平時常為為 1平時常平時常為為 1(116)紅色線無圓圈表示:紅色線無圓圈表示:“高高電平有效電平有效”,即,即“只有只有在時鐘在時鐘 CP1 時,它才表時,它才表現(xiàn)出應(yīng)有的邏輯功能;如現(xiàn)出應(yīng)有的邏輯功能;如果果CP0,輸出端,輸出端 Q 則保則保持原狀態(tài)持原狀態(tài)”QQRDSDRSC邏輯邏輯符號符號邏輯邏輯符號符號綠色線有一個圓圈,表示:綠色線有一個圓圈,表示:“低 電 平 有 效低 電 平 有 效”,即,即“只有在時鐘只有在時鐘 CP0 時,時,它才表現(xiàn)出應(yīng)有的邏輯功它才表現(xiàn)出應(yīng)有的邏輯功能;如果能;如果CP1,輸出端,輸出端 Q 則保持原狀態(tài)則保持

65、原狀態(tài)”QQRDSDRSC(117)R S 觸發(fā)器的電路結(jié)構(gòu)演變過程觸發(fā)器的電路結(jié)構(gòu)演變過程由兩個與非門構(gòu)成基由兩個與非門構(gòu)成基本本RS觸發(fā)器觸發(fā)器由四個與非門構(gòu)成同由四個與非門構(gòu)成同步步RS觸發(fā)器觸發(fā)器由九個與非門構(gòu)成主由九個與非門構(gòu)成主從從RS觸發(fā)器觸發(fā)器公共結(jié)構(gòu)公共結(jié)構(gòu)讓其接受讓其接受時鐘控制時鐘控制(118)4.3 常用觸發(fā)器常用觸發(fā)器D Qn+1 0 0 1 1 功能表功能表CPDQQD觸發(fā)器的輸出波形觸發(fā)器的輸出波形邏輯符號邏輯符號RDSDD CQQ結(jié)論:結(jié)論:Qn+1=D1.D觸發(fā)器(觸發(fā)器(D鎖存器)鎖存器)(119)觸發(fā)器的觸發(fā)方式觸發(fā)器的觸發(fā)方式觸發(fā)方式?觸發(fā)方式?研究翻轉(zhuǎn)

66、時刻與研究翻轉(zhuǎn)時刻與時鐘脈沖間的關(guān)系時鐘脈沖間的關(guān)系電位觸發(fā)方式電位觸發(fā)方式電位觸電位觸發(fā)發(fā)正電位觸發(fā)正電位觸發(fā)負電位觸發(fā)負電位觸發(fā)CP=1 期間翻轉(zhuǎn)期間翻轉(zhuǎn)CP=0 期間翻轉(zhuǎn)期間翻轉(zhuǎn)(120)邊沿觸發(fā)方式邊沿觸發(fā)方式為了免除為了免除CP=1期間輸入控制電平不許改變期間輸入控制電平不許改變的限制,可采用的限制,可采用邊沿觸發(fā)邊沿觸發(fā)方式。其特點是:觸方式。其特點是:觸發(fā)器只在時鐘跳轉(zhuǎn)時發(fā)生翻轉(zhuǎn),而在發(fā)器只在時鐘跳轉(zhuǎn)時發(fā)生翻轉(zhuǎn),而在CP1或或CP0期間,輸入端的任何變化都不影響輸出期間,輸入端的任何變化都不影響輸出如果翻轉(zhuǎn)發(fā)生在上升沿就叫如果翻轉(zhuǎn)發(fā)生在上升沿就叫“上升沿觸發(fā)上升沿觸發(fā)”或或“正邊沿觸發(fā)正邊沿觸發(fā)”。如果翻轉(zhuǎn)發(fā)生在下降沿就。如果翻轉(zhuǎn)發(fā)生在下降沿就叫叫“下降沿觸發(fā)下降沿觸發(fā)”或或“負邊緣觸發(fā)負邊緣觸發(fā)”(121)CP D Qn+1 0 Qn 1 Qn 0 0 1 1 邊沿觸發(fā)的邊沿觸發(fā)的D觸發(fā)器功能表觸發(fā)器功能表正沿觸發(fā)正沿觸發(fā)觸發(fā)方式在邏輯符號中的表示:觸發(fā)方式在邏輯符號中的表示:CQQ負沿負沿觸發(fā)觸發(fā)CQQ正沿正沿觸發(fā)觸發(fā)DD(122)J K Qn+1 0 0 Qn 0

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!