單片機(jī)課程設(shè)計(jì)報(bào)告-基于AT89C52單片機(jī)的電子密碼鎖設(shè)計(jì).doc

上傳人:good****022 文檔編號(hào):116548742 上傳時(shí)間:2022-07-05 格式:DOC 頁(yè)數(shù):18 大?。?30.50KB
收藏 版權(quán)申訴 舉報(bào) 下載
單片機(jī)課程設(shè)計(jì)報(bào)告-基于AT89C52單片機(jī)的電子密碼鎖設(shè)計(jì).doc_第1頁(yè)
第1頁(yè) / 共18頁(yè)
單片機(jī)課程設(shè)計(jì)報(bào)告-基于AT89C52單片機(jī)的電子密碼鎖設(shè)計(jì).doc_第2頁(yè)
第2頁(yè) / 共18頁(yè)
單片機(jī)課程設(shè)計(jì)報(bào)告-基于AT89C52單片機(jī)的電子密碼鎖設(shè)計(jì).doc_第3頁(yè)
第3頁(yè) / 共18頁(yè)

下載文檔到電腦,查找使用更方便

20 積分

下載資源

還剩頁(yè)未讀,繼續(xù)閱讀

資源描述:

《單片機(jī)課程設(shè)計(jì)報(bào)告-基于AT89C52單片機(jī)的電子密碼鎖設(shè)計(jì).doc》由會(huì)員分享,可在線閱讀,更多相關(guān)《單片機(jī)課程設(shè)計(jì)報(bào)告-基于AT89C52單片機(jī)的電子密碼鎖設(shè)計(jì).doc(18頁(yè)珍藏版)》請(qǐng)?jiān)谘b配圖網(wǎng)上搜索。

1、沈陽(yáng)理工大學(xué)課程設(shè)計(jì)專(zhuān)用紙 .18摘 要隨著社會(huì)的發(fā)展進(jìn)步,密碼鎖逐漸成為我們生活中很重要的一部分,密碼鎖是安全的一個(gè)很好的保障措施。本論文設(shè)計(jì)一個(gè)基于單片機(jī)和存儲(chǔ)器的,能夠進(jìn)行開(kāi)鎖,上鎖,修改密碼的電子密碼鎖。電子密碼鎖是現(xiàn)代電子科技與安全結(jié)合的產(chǎn)物。它在現(xiàn)代鎖業(yè)扮演著重要的角色,本文的主要內(nèi)容是用AT89c52單片機(jī)為核心控制元件,用存儲(chǔ)器24c04進(jìn)行存儲(chǔ)密碼鎖的密碼,當(dāng)用戶(hù)輸入的密碼與存儲(chǔ)器儲(chǔ)存的密碼相同時(shí),即可開(kāi)鎖成功,并也可以修改密碼,若不相同,則不能開(kāi)鎖,并提示密碼錯(cuò)誤。關(guān)鍵詞:AT89C52單片機(jī) 密碼鎖 LCD 矩陣鍵盤(pán) 目錄摘 要1目錄21.引 言32. 總體設(shè)計(jì)42.1基

2、本原理42.2系統(tǒng)總體框圖及設(shè)計(jì)思路43.詳細(xì)設(shè)計(jì)53.1 硬件設(shè)計(jì)53.2軟件設(shè)計(jì).83.2.1程序設(shè)計(jì)思路83.2.2 程序流程圖83.2.3 程序代碼94. 系統(tǒng)調(diào)試及分析155.心得體會(huì)17參考文獻(xiàn)181.引 言單片機(jī)又稱(chēng)單片微控制器,它不是完成某一個(gè)邏輯功能的芯片,而是把一個(gè)計(jì)算機(jī)系統(tǒng)集成到一個(gè)芯片上。相當(dāng)于一個(gè)微型的計(jì)算機(jī),和計(jì)算機(jī)相比,單片機(jī)只缺少了I/O設(shè)備。概括的講:一塊芯片就成了一臺(tái)計(jì)算機(jī)。它的體積小、質(zhì)量輕、價(jià)格便宜、為學(xué)習(xí)、應(yīng)用和開(kāi)發(fā)提供了便利條件。同時(shí),學(xué)習(xí)使用單片機(jī)是了解計(jì)算機(jī)原理與結(jié)構(gòu)的最佳選擇。 密碼鎖是一種通過(guò)密碼輸入來(lái)控制電路或是芯片工作,從而控制機(jī)械開(kāi)關(guān)的

3、閉合,完成開(kāi)鎖、閉鎖任務(wù)的電子產(chǎn)品。它的種類(lèi)很多,有簡(jiǎn)易的電路產(chǎn)品,也有基于芯片的性?xún)r(jià)比較高的產(chǎn)品。現(xiàn)在應(yīng)用較廣的數(shù)字密碼鎖是以芯片為核心,通過(guò)編程來(lái)實(shí)現(xiàn)的。其性能和安全性已大大超過(guò)了機(jī)械鎖。其特點(diǎn)是保密性好,隨機(jī)開(kāi)鎖成功率幾乎為零。密碼可變,用戶(hù)可以隨時(shí)更改密碼,防止密碼被盜,同時(shí)也可以避免因人員的更替而使鎖的密級(jí)下降。無(wú)活動(dòng)零件,不會(huì)磨損,壽命長(zhǎng)。使用靈活性好,不像機(jī)械鎖必須佩帶鑰匙才能開(kāi)鎖。數(shù)字密碼鎖操作簡(jiǎn)單易行,一學(xué)就會(huì)。2. 總體設(shè)計(jì)2.1基本原理電子密碼鎖是才用存儲(chǔ)芯片24C04進(jìn)行存放密碼,單片機(jī)可以對(duì)24C04進(jìn)行讀取密碼和寫(xiě)入密碼,本密碼鎖是事先吧初始密碼123456寫(xiě)入24

4、C04中,用戶(hù)輸入密碼后,通過(guò)對(duì)用戶(hù)輸入的密碼和24C04中的密碼進(jìn)行比較,若比較相同則提示開(kāi)鎖稱(chēng)成功,開(kāi)鎖后,用戶(hù)可以修改密碼,即把用戶(hù)輸入的新密碼寫(xiě)入到24C04當(dāng)中,并清除之前的密碼,按下保存鍵后,繼成功修改密碼。其中24C04的原理如下:AT24C04是美國(guó)Atmel公司的低功耗CMOS型E2PROM,內(nèi)含2568位存儲(chǔ)空間,具有工作電壓寬(2.55.5 V)、擦寫(xiě)次數(shù)多(大于10000次)、寫(xiě)入速度快(小于10 ms)、抗干擾能力強(qiáng)、數(shù)據(jù)不易丟失、體積小等特點(diǎn)。而且他是采用了I2C總線式進(jìn)行數(shù)據(jù)讀寫(xiě)的串行器件,占用很少的資源和IO線,并且支持在線編程,進(jìn)行數(shù)據(jù)實(shí)時(shí)的存取十分方便。AT

5、24C04中帶有的片內(nèi)地址寄存器。每寫(xiě)入或讀出一個(gè)數(shù)據(jù)字節(jié)后,該地址寄存器自動(dòng)加1,以實(shí)現(xiàn)對(duì)下一個(gè)存儲(chǔ)單元的讀寫(xiě)。所有字節(jié)均以單一操作方式讀取。為降低總的寫(xiě)入時(shí)間,一次操作可寫(xiě)入多達(dá)8個(gè)字節(jié)的數(shù)據(jù)。I2C總線是一種用于IC器件之間連接的二線制總線。他通過(guò)SDA(串行數(shù)據(jù)線)及SCL(串行時(shí)鐘線)兩根線在連到總線上的器件之間傳送信息,并根據(jù)地址識(shí)別每個(gè)器件。 AT24C02正是運(yùn)用了I2C規(guī)程,使用主從機(jī)雙向通信,主機(jī)(通常為單片機(jī))和從機(jī)(AT24C04)均可工作于接收器和發(fā)送器狀態(tài)。主機(jī)產(chǎn)生串行時(shí)鐘信號(hào)(通過(guò)SCL引腳)并發(fā)出控制字,控制總線的傳送方向,并產(chǎn)生開(kāi)始和停止的條件。無(wú)論是主機(jī)還是

6、從機(jī),接收到一個(gè)字節(jié)后必須發(fā)出一個(gè)確認(rèn)信號(hào)ACK。AT24C04的控制字由8位二進(jìn)制數(shù)構(gòu)成,在開(kāi)始信號(hào)發(fā)出以后,主機(jī)便會(huì)發(fā)出控制字,以選擇從機(jī)并控制總線傳送的方向。2.2系統(tǒng)總體框圖及設(shè)計(jì)思路電子密碼鎖24C04存儲(chǔ)密碼矩陣鍵盤(pán)進(jìn)行輸入LCD顯示提示信息 總體設(shè)計(jì)思路:本設(shè)計(jì)利用單片機(jī)靈活的編程設(shè)計(jì)和豐富的IO端口,及其控制的準(zhǔn)確性,不但能實(shí)現(xiàn)基本的密碼鎖功能,還能添加掉電存儲(chǔ)。其中,0-9為用戶(hù)可以輸入密碼可選擇的數(shù)字,10號(hào)功能為開(kāi)鎖鍵,11號(hào)功能為上鎖,12號(hào)功能為輸入修改后的密碼,13號(hào)功能為保存密碼,14號(hào)功能為重新輸入。利用LCD來(lái)顯示提示信息,用LED來(lái)提示密碼鎖的狀態(tài)。3.詳細(xì)

7、設(shè)計(jì)3.1 硬件設(shè)計(jì)1芯片及原理介紹(一) AT89C52AT89C52與MCS-51單片機(jī)產(chǎn)品兼容 、8K字節(jié)在系統(tǒng)可編程Flash存儲(chǔ)器、 1000次擦寫(xiě)周期、 全靜態(tài)操作:0Hz33MHz 、 三級(jí)加密程序存儲(chǔ)器 、 32個(gè)可編程I/O口線 、三個(gè)16位定時(shí)器/計(jì)數(shù)器 八個(gè)中斷源 、全雙工UART串行通道、 低功耗空閑和掉電模式 、掉電后中斷可喚醒 、看門(mén)狗定時(shí)器 、雙數(shù)據(jù)指針 、掉電標(biāo)識(shí)符 。 P1口引腳特殊功能P1.0T2(定時(shí)器T2外部輸入)P3口引腳P3.0RXD(串行輸入口)P3.1TXD(串行輸出口)P3.2(外部中斷0)P3.3(外部中斷1)P3.4T0(定時(shí)器0外部輸入)

8、P3.5T1(定時(shí)器1外部輸入)P3.6WR(外部數(shù)據(jù)存儲(chǔ)器寫(xiě)選通)P3.7RD(外部數(shù)據(jù)存儲(chǔ)器讀先通)AT89C52的一些特殊功能口,如下表所示:(二) 矩陣鍵盤(pán)使用矩陣鍵盤(pán),所以本設(shè)計(jì)就采用行列式鍵盤(pán),同時(shí)也能減少鍵盤(pán)與單片機(jī)接口時(shí)所占用的I/O線的數(shù)目,在按鍵比較多的時(shí)候,通常采用這樣方法。其原理如圖。本設(shè)計(jì)中矩陣鍵盤(pán)接在單片機(jī)的P1口。每一條水平(行線)與垂直線(列線)的交叉處不相通,而是通過(guò)一個(gè)按鍵來(lái)連通,利用這種行列式矩陣結(jié)構(gòu)只需要N條行線和M條列線,即可組成具有NM個(gè)按鍵的鍵盤(pán)。在這種行列式矩陣鍵盤(pán)非鍵盤(pán)編碼的單片機(jī)系統(tǒng)中,鍵盤(pán)處理程序首先執(zhí)行等待按鍵并確認(rèn)有無(wú)按鍵按下的程序段。

9、當(dāng)確認(rèn)有按鍵按下后,下一步就要識(shí)別哪一個(gè)按鍵按下。對(duì)鍵的識(shí)別通常有兩種方法:一種是常用的逐行掃描查詢(xún)法;另一種是速度較快的線反轉(zhuǎn)法。(三) LCD1602本系統(tǒng)設(shè)計(jì)的顯示電路是為了給使用者以提示而設(shè)置的。本系統(tǒng)的顯示采用了LCD1602作為顯示模塊,其能夠同時(shí)顯示16x02即32個(gè)字符。LCD在系統(tǒng)中,其D0-D7引腳分別接單片機(jī)的P0口、VSS和VDD分別接電源和地線、VEE為液晶亮度調(diào)節(jié)按鈕(可接電位器)、RS為數(shù)據(jù)或命令的選擇端接P2.0、RW為讀寫(xiě)的選擇端接P2.1、E為使能信號(hào)接P2.2。如圖所示。(四) 24C04本設(shè)計(jì)中為了在系統(tǒng)掉電時(shí)能夠保存系統(tǒng)的設(shè)置密碼,使用了具有I2C總線

10、接口的E2PROM的24C04芯片來(lái)保存密碼鎖的密碼。SCL串行時(shí)鐘 AT24C02串行時(shí)鐘輸入管腳用于產(chǎn)生器件所有數(shù)據(jù)發(fā)送或接收的時(shí)鐘,這是一個(gè)輸入管腳。 SDA串行數(shù)據(jù)/地址 AT24C02 雙向串行數(shù)據(jù)/地址管腳用于器件所有數(shù)據(jù)的發(fā)送或接收,SDA 是一個(gè)開(kāi)漏輸出管腳,可與其它開(kāi)漏輸出或集電極開(kāi)路輸出進(jìn)行線或(wire-OR)。 A0、A1、A2器件地址輸入端 這些輸入腳用于多個(gè)器件級(jí)聯(lián)時(shí)設(shè)置器件地址,當(dāng)這些腳懸空時(shí)默認(rèn)值為0。當(dāng)使用AT24C02 時(shí)最大可級(jí)聯(lián)8個(gè)器件。如果只有一個(gè)AT24C02被總線尋址,這三個(gè)地址輸入腳(A0、A1、A2 )可懸空或連接到Vss,如果只有一個(gè)AT24

11、C02被總線尋址這三個(gè)地址輸入腳(A0、A1、A2 )必須連接到Vss。 WP寫(xiě)保護(hù) 如果WP管腳連接到Vcc,所有的內(nèi)容都被寫(xiě)保護(hù)只能讀。當(dāng)WP管腳連接到Vss 或懸空允許器件進(jìn)行正常的讀/寫(xiě)操作2.硬件原理圖P1口通過(guò)連接1個(gè)矩陣鍵盤(pán),作為密碼輸入和功能選擇按鍵;P0口接LCD1602的8位數(shù)據(jù)通信線;P2.0,P2.1,P2.2接LCD控制端;P3.2和P3.3接24C04。 3.2 軟件設(shè)計(jì). 3.2.1程序設(shè)計(jì)思路電子密碼鎖工作的主要過(guò)程是LCD提示開(kāi)始選擇操作功能(選擇開(kāi)鎖還是更改密碼),通過(guò)10號(hào)鍵盤(pán)開(kāi)鎖,13號(hào)14號(hào)進(jìn)行密碼的修改與保存。當(dāng)用戶(hù)選擇開(kāi)鎖時(shí),通過(guò)鍵盤(pán)輸入開(kāi)鎖密碼。

12、如果密碼輸入正確,則LCD提示密碼正確、LED燈亮表示開(kāi)鎖。如果密碼輸入錯(cuò)誤則LCD提示密碼錯(cuò)誤。當(dāng)用戶(hù)選擇更改密碼功能時(shí),要求用戶(hù)先輸入當(dāng)前密碼。當(dāng)密碼正確時(shí)LCD提示用戶(hù)進(jìn)一步操作。單片機(jī)向24C04寫(xiě)入新密碼,否則提示錯(cuò)誤并返回初狀態(tài)。3.2.1程序流程圖開(kāi)始功能鍵盤(pán)掃描檢測(cè)子程序輸入開(kāi)鎖還是改密碼?提示輸入開(kāi)鎖密碼LCD用*動(dòng)態(tài)提示已輸入數(shù)字提示輸入舊密碼將已輸入的數(shù)字暫存與單片機(jī)RAM判斷舊密碼是否正確?N提示密碼錯(cuò)誤調(diào)用存于24C04的密碼與其比較?Y清單片機(jī)RAM密碼暫存區(qū)提示輸入新密碼YN判斷輸入的密碼是正確?第一個(gè)LED亮N提示出錯(cuò)Y將新密碼寫(xiě)入AT24C02 結(jié)束提示密碼修

13、改成功3.2.3 程序代碼1、預(yù)定義部分#include#include#include#include #include #include #define uchar unsigned char#define uint unsigned int/上次按鍵和當(dāng)前按鍵序號(hào),該矩陣中序號(hào)范圍015,16表示無(wú)按鍵uchar pre_keyno=16,keyno=16;uchar code Title_Text=your password.;/標(biāo)題字符串uchar DSY_BUFFER16= ;/顯示緩沖uchar userpassword7= ;/用戶(hù)輸入的密碼uchar IIC_Password

14、7;uchar IS_Valid_User = 0;uchar i = 0;void Beep();uchar Clear_Password();sbit LED_OPEN = P20;/開(kāi)鎖燈亮sbit BEEP = P37;/蜂鳴器2、功能控制void key_main() P1 = 0 xF0; if(P1 != 0 xF0) keyno = keys_scan(); /掃描鍵盤(pán)獲取鍵序號(hào)KeyNo if(pre_keyno != keyno) if(i=6) switch ( keyno ) case 0: case 1: case 2: case 3: case 4: case 5:

15、 case 6: case 7: case 8: case 9: if (i = 0) display_string( ,0 x40); userpasswordi = keyno + 0; userpasswordi+1 = ;/DSY_BUFFERi = userpasswordi; DSY_BUFFERi = *; DSY_BUFFERi+1 = ; display_string(DSY_BUFFER,0 x40); i+;break; case 10: /按A鍵開(kāi)鎖 if (strcmp(userpassword,IIC_Password) = 0) LED_OPEN = 0; /點(diǎn)亮

16、LED Clear_Password(); display_string(Unlock OK! ,0 x40); IS_Valid_User = 1; else LED_OPEN =1; /關(guān)閉LED Clear_Password(); display_string(ERROR ! ,0 x40); IS_Valid_User = 0; i = 0; break; case 11: /按B鍵上鎖 LED_OPEN =1; Clear_Password(); display_string(Title_Text,0 x00); display_string( ,0 x40); i = 0; IS_

17、Valid_User = 0; break; case 12: /按C鍵設(shè)置新密碼 /如果是合法用戶(hù)則提示輸入新密碼 if ( !IS_Valid_User ) display_string(No rights !,0 x40); else i = 0; display_string(New Password: ,0 x00); display_string( ,0 x40); break; case 13: /按D鍵保存新密碼 if ( !IS_Valid_User ) display_string(No rights !,0 x40); else sendstring(0 xa0, 0 ,

18、 userpassword, 6); /重新讀入剛寫(xiě)的密碼 recstring(0 xa0, 0 , IIC_Password, 6); IIC_Password6 = ; i = 0; display_string(Title_Text,0 x00); display_string(Password Saved! ,0 x40); break; case 14: /按E鍵消除所有輸入 i = 0; Clear_Password(); display_string( ,0 x40); beep(); pre_keyno = keyno;/delay(100);/ Beep(); delay(1

19、00); P1= 0 xF0; while (P1 != 0 xF0); /如果有鍵未釋放則等待 while (P1 = 0 xF0); /如果沒(méi)有再次按下按鍵則等待 3、24C04讀寫(xiě)程序 /-從芯片讀取1字節(jié)uchar recbyte() uchar i,rd; rd=0 x00; SDA=1; for(i=0;i8;i+) SCL=1;rd=1;rd|=SDA;delay4us();SCL=0;delay4us(); SCL=0; delay4us(); return rd;/-向芯片發(fā)送一個(gè)字節(jié)uchar sendbyte(uchar wd) uchar i; bit ACK0; fo

20、r(i=0;i8;i+) SDA=(bit)(wd&0 x80); _nop_();_nop_(); SCL=1;delay4us(); SCL=0; wd=1; delay4us(); SDA=1; SCL=1; delay4us(); ACK0=!SDA; SCL=0; delay4us(); return ACK0;/發(fā)送多字節(jié)數(shù)據(jù)函數(shù) uchar sendstring(uchar slave,uchar subaddr,uchar *buffer,uchar N)uchar i;start();if(!sendbyte(slave) return 0;if(!sendbyte(suba

21、ddr) return 0;for(i=0;iN-1;i+)if(!sendbyte(bufferi) return 0; stop(); return 1;/-接收多字節(jié)數(shù)據(jù)函數(shù)uchar recstring(uchar slave,uchar subaddr,uchar *buffer,uchar N)uchar i;start();if(!sendbyte(slave) return 0;if(!sendbyte(subaddr) return 0;start();if(!sendbyte(slave+1) return 0;for(i=0;iN-1;i+) bufferi=recbyt

22、e(); ACK(); bufferN-1= recbyte(); no_ACK(); stop(); return 1;4、LCD1602顯示部分/測(cè)試LCD忙狀態(tài) 返回resultbit lcd_bz()bit result;rs = 0;rw = 1;eq = 1;_nop_();_nop_();_nop_();_nop_();result = (bit)(P0&0 x80);eq = 0;return result; /寫(xiě)指令數(shù)據(jù)到LCDvoid lcd_wcmd(uchar cmd)rs = 0;rw = 0;eq = 0;P0 = cmd;eq = 1;delay_lcd(1);e

23、q = 0;/寫(xiě)入顯示數(shù)據(jù)到LCDvoid lcd_wdat(uchar dat)while(lcd_bz();rs = 1;rw = 0; P0 = dat;delay_lcd(1);eq = 1;eq = 0;/LCD初始化void lcd_init()lcd_wcmd(0 x38);/顯示模式設(shè)置,5*7點(diǎn)陣,8位數(shù)據(jù)接口while(lcd_bz();lcd_wcmd(0 x0c);/顯示開(kāi)及光標(biāo)設(shè)置不顯示lcd_wcmd(0 x06);/顯示光標(biāo)移動(dòng)設(shè)置lcd_wcmd(0 x01);/顯示清屏void display_string(uchar *str,uchar lineno)/在

24、液晶指定行顯示字符串uchar i;lcd_wcmd(0 x80 + lineno);for(i=0; i4)0 x0f;switch(temp)case 1: k+=0;break;case 2: k+=4;break;case 4: k+=8;break;case 8: k+=12;break;default:break ;/keyno=k;return k;6、主函數(shù)void main() init_main(); display_string(Title_Text,0 x00); /在第1行顯示標(biāo)題 /24C04的內(nèi)容已由初始化BIN文件導(dǎo)入 /將24C04中預(yù)先寫(xiě)入的密碼讀入pass

25、 recstring(0 xa0, 0 , IIC_Password, 6); IIC_Password6 = 0; while(1) key_main(); 4. 系統(tǒng)調(diào)試及分析用keil編寫(xiě)程序編譯運(yùn)行通過(guò)后,用Proteus仿真結(jié)果如下,以下是輸入密碼正確,開(kāi)鎖成功; 修改密碼,提示輸入新密碼,并保存成功。5.心得體會(huì)在著手本次課程設(shè)計(jì)時(shí),通過(guò)查閱網(wǎng)絡(luò)與圖書(shū)館搜集到的資料,加上指導(dǎo)老師指點(diǎn),結(jié)合生活中對(duì)密碼鎖的功能特性要求,設(shè)計(jì)出了這一套電子密碼鎖系統(tǒng)的主要硬件結(jié)構(gòu)和軟件結(jié)構(gòu),基本完成了課題。不過(guò)由于了解的專(zhuān)業(yè)知識(shí)尚淺,對(duì)課題的研究經(jīng)驗(yàn)的不足,使得在技術(shù)的解決與運(yùn)用上顯得粗糙了一些,特別

26、是功能鍵的設(shè)定。在設(shè)計(jì)的過(guò)程當(dāng)中經(jīng)歷和克服了許多困難,暴露我們知識(shí)和經(jīng)驗(yàn)不足的同時(shí)也積累了實(shí)踐經(jīng)驗(yàn),檢閱了大學(xué)所學(xué)的知識(shí),使所學(xué)的知識(shí)得到復(fù)習(xí)和鞏固。通過(guò)這次畢業(yè)設(shè)計(jì)我學(xué)到了很多東西,復(fù)習(xí)了理論知識(shí),特別是單片機(jī)外圍電路模塊電路設(shè)計(jì)及其應(yīng)用編程;學(xué)會(huì)收集和處理資料的一些基本問(wèn)題,提高獲取新知識(shí)的能力、分析和解決問(wèn)題的能力以及交流與合作的能力。這次課程設(shè)計(jì)終于順利完成了,在設(shè)計(jì)中遇到了很多編程問(wèn)題,在同學(xué)的幫助下,終于游逆而解。非常感謝!參考文獻(xiàn)1李群芳 張士軍 黃建 單片微型計(jì)算機(jī)與接口技術(shù)電子工業(yè)出版社2周美娟 肖來(lái)勝 單片機(jī)原理及系統(tǒng)設(shè)計(jì)清華大學(xué)出版社3 黃鑫,馬善農(nóng),趙永科.基于CPLD的電子琴研究與設(shè)計(jì)J.科技廣場(chǎng). 4 趙亮,侯國(guó)銳.單片機(jī)C語(yǔ)言編程與實(shí)例M.北京:人民郵電出版社. 5 徐新艷單片機(jī)原理、應(yīng)用與實(shí)踐M北京:高等教育出版社沈陽(yáng)理工大學(xué)

展開(kāi)閱讀全文
溫馨提示:
1: 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話(huà):18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶(hù)上傳的文檔直接被用戶(hù)下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!