歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

單片機音樂播放器報告.doc

  • 資源ID:9641558       資源大?。?span id="2ss2aks" class="font-tahoma">794KB        全文頁數(shù):20頁
  • 資源格式: DOC        下載積分:9.9積分
快捷下載 游客一鍵下載
會員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要9.9積分
郵箱/手機:
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機號,方便查詢和重復(fù)下載(系統(tǒng)自動生成)
支付方式: 支付寶    微信支付   
驗證碼:   換一換

 
賬號:
密碼:
驗證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會被瀏覽器默認(rèn)打開,此種情況可以點擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說明有答案則都視為沒有答案,請知曉。

單片機音樂播放器報告.doc

科信學(xué)院單片機應(yīng)用系統(tǒng)(三級項目)設(shè)計說明書(2014/2015學(xué)年第二學(xué)期)題 目 : 音樂播放器設(shè)計 專業(yè)班級 : 信息1班 學(xué)生學(xué)號 : 120072128 學(xué)生姓名 : 代星雨 指導(dǎo)教師 : 吳開興、劉會軍、 馬永強、馬小進 設(shè)計周數(shù) : 2周 設(shè)計成績 : 2015年6月26日1、項目設(shè)計目的21.1目的21.2課程設(shè)計任務(wù)21.3課程設(shè)計要求22、項目設(shè)計22.1總體設(shè)計22.2硬件設(shè)計32.3軟件設(shè)計82.4硬件調(diào)試162.5軟件調(diào)試163、項目設(shè)計總結(jié)或結(jié)論173.1課設(shè)總結(jié)173.2課程設(shè)計感想174、參考文獻181、項目設(shè)計目的1.1目的應(yīng)用單片機,利用它的原理組成一個音樂播放器。1.2課程設(shè)計任務(wù)利用I/O口產(chǎn)生一定頻率的方波,驅(qū)動蜂鳴器,發(fā)出不同的音調(diào),從而演奏樂曲(最少三首樂曲,每首不少于30秒)采用LCD或數(shù)碼管顯示信息。開機時有英文歡迎提示字符,播放時顯示歌曲序號(或名稱)??赏ㄟ^功能鍵選擇樂曲,暫停,播放。1.3課程設(shè)計要求根據(jù)技術(shù)要求和現(xiàn)有開發(fā)環(huán)境,分析設(shè)計題目;設(shè)計系統(tǒng)實現(xiàn)方案;設(shè)計并繪制電路原理圖;畫出功能模塊塊的程序流程圖;使用匯編語言(或C語言)編寫實現(xiàn)程序;結(jié)合硬件調(diào)試、修改并完善程序;編寫課程設(shè)計報告。2、項目設(shè)計2.1總體設(shè)計本次設(shè)計以低成本,靈活方便為原則,所以控制器選用主流的51系列單片機,考慮到51內(nèi)部儲存空間的限制,故選用容量為51兩倍的AT89C52單片機作為總控制器,以矩陣鍵盤作為樂曲選擇器,以0.5W 8揚聲器等組成功放設(shè)備,以LCD1602液晶作為人機交互界面。時鐘振蕩電路用來為單片機產(chǎn)生時鐘脈沖,本設(shè)計采用內(nèi)部時鐘方式。軟件用來對單片機的各引腳進行控制LCD顯示,同時在功放電路的輸出端口產(chǎn)生不同頻率的矩形波進而產(chǎn)生音樂,當(dāng)按鈕按下時程序要完成對歌曲的切換,對LCD顯示內(nèi)容的切換??傮w方案圖以及相關(guān)流程圖:圖2-1 圖 總體方案圖圖2-2 主程序流程圖圖2-3顯示流程2.2硬件設(shè)計當(dāng)鍵盤有鍵按下時,判斷鍵值,啟動計數(shù)器T0,產(chǎn)生一定頻率的脈沖,驅(qū)動揚聲器發(fā)出音樂。同時啟動定時器T1,顯示樂曲播放的時間,并驅(qū)動LCD,顯示歌曲號及播放時間。2.2.1單片機電路微控制器采用AT89C52。AT89C52是美國ATMEL公司生產(chǎn)的與MCS-51系列單片機完全兼容的高性能CMOS 8位單片機,MCS-51系列單片機以其推出時間早、配套資源豐富、開發(fā)手段完善、性價比高等特點而得到了廣泛的應(yīng)用,是單片機的主流品種。AT89C52的內(nèi)部資源主要包括:與MCS-51 系列完全兼容的高性能8位CPU;8K字節(jié)可重復(fù)編程的Flash存儲器;256字節(jié)的SRAM;4個8位的并行口,共32條I/O口線;3個16位的定時器/計數(shù)器;6個中斷源,2個中斷優(yōu)先級的中斷系統(tǒng);一個全雙工的串行口;內(nèi)部振蕩器。 圖2-4 單片機引腳圖2.2.2晶振電路單片機的正常工作是在時鐘的作用下完成的,晶振選用11.0592M的。時鐘振蕩電路由晶振(6MHZ)和電容組成,接單片機的XTAL1和XTAL2引腳。時鐘振蕩電路用來為單片機產(chǎn)生時鐘脈沖,為內(nèi)部時鐘方式圖2-5晶振電路圖2.2.3復(fù)位電路復(fù)位電路由電解電容、電阻和按鈕組成。復(fù)位分為上電復(fù)位和手動復(fù)位,上電復(fù)位在單片機一上電時對單片及進行復(fù)位,手動復(fù)位通過外部按鈕來對單片機進行復(fù)位。兩種復(fù)位方式的結(jié)果一樣。復(fù)位電路的電路圖如圖所示。圖2-6復(fù)位電路圖2.2.4按鍵輸入電路按鍵輸入電路由4*4矩陣鍵盤組成,P1口作為輸入控制按鍵,其中P1.0P1.3掃描行,P1.4P1.7掃描列。 鍵盤是由若干個按鍵組成的開關(guān)矩陣,它是最簡單的單片機應(yīng)用系統(tǒng)的輸入設(shè)備,操作人員可以通過鍵盤輸入數(shù)據(jù)或命令,實現(xiàn)簡單的人機通信。本設(shè)計采用4*4的鍵盤結(jié)構(gòu),如圖 圖2-7鍵盤結(jié)構(gòu)圖鍵盤的行線X0X3通過電阻接+5V,當(dāng)鍵盤上沒有鍵閉合時,所有的行線和列線都斷開,行線都是高電平。當(dāng)鍵盤上某一個鍵閉合時,該鍵所對應(yīng)的行線和列線都被短路。例如6號鍵被按下時,行線X1和列線Y2被短路,此時X1的電平由Y2的電位決定。如果把行線接到單片機的輸入口,列線接到單片機的輸出口,則在單片機的控制下,先使列線Y0為低電平“0”,其余三根列線Y1、Y2、Y3都為高電平“1”,讀行線狀態(tài)。如果X0、X1、X2、X3都為高電平,則Y0這一列上沒有鍵閉合。如果讀出的行線不全為高電平,則為低電平的行線和Y0相交的鍵處于閉合狀態(tài),如果Y0這一列上沒有鍵閉合,使列線Y1為低電平,其余列線為高電平,用同樣的方法檢查Y1這一列上是否有鍵閉合。這種逐行逐列的檢查鍵盤的狀態(tài)過程稱為對鍵盤的一次掃描。鍵盤按鍵分布如下:0123456789ABCDEF圖2-8按鍵分布圖 按鍵功能說明:表2.1按鍵功能說明表1A十首歌曲C下一首歌曲D上一首歌曲E暫停F開機畫面圖2-9 矩陣鍵盤圖2.2.5功放發(fā)聲電路采用LM386功放芯片,功放電路由P2.5口控制,放大器增益200圖2-10 功放電路仿真圖2.2.6輸出顯示電路輸出顯示電路選用LCD1602,用P3.3P3.4作為LCD的RS、R/W、E的控制信號;用P0.0P0.7作為LCD的D0D7的控制信號LCD 數(shù)碼顯示器結(jié)構(gòu)液晶顯示器又叫LCD數(shù)碼顯示器,液晶顯示器的主要材料是液態(tài)晶體(簡稱液晶),它是一種有機材料,在特定的溫度范圍內(nèi),既具有液體的流動性,又具有某些光學(xué)特性,其透明度和顏色隨電場、磁場、光及溫度等外界條件的變化而變化。因此,在邏輯電路的輸出信號作用下,可顯示出某一確定的數(shù)字。液晶顯示器是一種被動式顯示器件,液晶本身不會發(fā)光,而是借助自然光和外來光顯示數(shù)宇。外部光線愈強,它的顯示效果愈好。而且不會像LED數(shù)碼顯示器那樣會被強光所淹沒。由于液晶顯示器所需的功耗很小,因此它可由集成電路譯碼器直接驅(qū)動。文字型LCDLCD是一種通常5*7點陣圖形來顯示字符的液晶顯示,能顯示的每個字符都有一個代碼,代碼對應(yīng)字符的點陣圖形數(shù)據(jù)由字符發(fā)生器產(chǎn)生。通過驅(qū)動電路后在LCD顯示出字符。引腳功能如下:表2.2 L1602引腳功能表引腳號符號狀態(tài)功能1Vs電源地2Ddd正5V邏輯電源3Vo液晶驅(qū)動電源4RS輸入寄存器選擇:1數(shù)據(jù)0指令5R/W輸入讀寫操作選擇6E輸入使能信號7至14DBo-DB7三態(tài)數(shù)據(jù)總線*15E1輸入MDLS40466上兩行使能信號*16E2輸入MDLS40466下兩行使能信號圖2-11 LCD1602總電路仿真圖圖2-12總體電路仿真圖2.3軟件設(shè)計 2.3.1音樂發(fā)生器設(shè)計流程及思路(1)音樂基礎(chǔ)在進行設(shè)計前,首先要對音樂知識有基本的理解,其次要明白單片機產(chǎn)生音樂脈沖的原理,最后完成硬件原理圖和相關(guān)程序的設(shè)計。聲音作為一種物理現(xiàn)象,是由于物體振動而產(chǎn)生的,振動產(chǎn)生的聲波作用于人耳,聽覺系統(tǒng)將神經(jīng)沖動傳達給大腦,進而產(chǎn)生聽覺。人耳能聽到的聲音頻率大約在1120000Hz,而音樂中使用的音一般在274100Hz。樂音體系中各音級的名稱叫做音名,被廣泛采用的是C D E F G A B(do re mi fa so la si則多用于歌唱,稱為唱名)。樂音體系中音高關(guān)系的最小盡量單位叫做半音,兩個半音構(gòu)成一個全音。樂音中有幾十個高低不同的音,但是最基本的只有這七個音,其他高、低音的音名都是在這個基礎(chǔ)上變化出來的。在樂譜表上用來表示正在進行的音的長短的符號,叫做音符。不同的音符代表不同的長度。音符有一下幾種:全音符、二分音符、四分音符、八分音符、十六分音符、三十二分音符、六十四分音符。此外,還有一種附點音符,它就是指帶附點的音符,所謂附點就是記載音符右邊的小圓點,表示增加前面音符時值的一半。音持續(xù)的長短即時值,一般用拍數(shù)表示,休止符表示暫停發(fā)音。音符與節(jié)拍數(shù)之間的對應(yīng)關(guān)系如表2.1所示。表2.3 音符與節(jié)拍數(shù)之間的對應(yīng)關(guān)系表簡譜發(fā)音簡譜碼T值節(jié)拍碼節(jié)拍數(shù)5低音SO16426011/4拍6低音LA26440022/4拍7低音TI36452433/4拍1中音DO46458041拍2中音RE56468451又1/43中音MI66477761又1/24中音FA76482082拍5中音SO864898A2又1/26中音LA964968C3拍7中音TIA65030F3又3/41高音DOB650582高音REC651103高音MID651574高音FAE651785高音SOF65217一首音樂及時由許多不同的音符組成的,而每一個音符對應(yīng)著不同的頻率,這樣就可以利用不同的頻率的組合,加以拍數(shù)對應(yīng)的延時來構(gòu)成不同的音樂。(2)音頻脈沖的產(chǎn)生音樂的產(chǎn)生需要不同頻率的音頻脈沖,對于單片機而言,可以利用它的定時/計數(shù)器產(chǎn)生這樣的方波頻率信號。具體地說,只要知道某一音頻的頻率,求得它的周期,然后將次周期除以2,得到半周期的時間,利用定時/計數(shù)器計時這半個周期,計時到后就將輸出脈沖的I/O反相。就可以在I/O端口上得到此脈沖。單片機工作在12MHz時鐘頻率下,其時鐘周期為1us,因此可以利用STC90C52AD的內(nèi)部定時/計數(shù)器T0,使其工作模式為1,工作在計數(shù)器狀態(tài)下,改變計數(shù)初值TH0和TL0以產(chǎn)生不同頻率的脈沖信號。T的值決定了計數(shù)初值TH0和TL0的值,TH0=T/256,TL0=T%256。計數(shù)值公式如下: 式中,:單片機內(nèi)部時鐘周期為1us,所以其頻率為1MHz。:產(chǎn)生音符所需的頻率。通過上式計算,可以求得各個音符頻率的計數(shù)值T。C調(diào)各音符頻率與計數(shù)值T的對照如表2.2所示。表2.4 C調(diào)各音符頻率與計數(shù)值T的對照值低音頻率T參數(shù)中音頻率T參數(shù)高音頻率T參數(shù)Do2621908229Do523956115Do10465757Do2771805217Do554903108Do11095454Re2941701204Re587852102Re11755151Re3111608193Re62280497Re12454848Mi3301515182Mi65975991Mi13184545Fa3491433172Fa69871686Fa13974343Fa3701351162Fa74067681Fa14804141So3921276153So78463877So15683838So4151205145So83160272So16613636La4401136136La88056868La17603434La4641078129La93253664La18653232Si4941012121Si98850661Si19763030(3)音樂節(jié)拍的產(chǎn)生節(jié)拍是指音樂持續(xù)的長短,是除音符之外音樂的另一關(guān)鍵組成部分,在單片機系統(tǒng)中可以通過延時來實現(xiàn)。如果1/4拍的延時設(shè)為0.2s,則1拍的時間為0.8s。以此類推,可以求出其余節(jié)拍的值,節(jié)拍的延時時間值與音樂的曲調(diào)值有相對應(yīng)的關(guān)系,表2.3所示為不同曲調(diào)下的1/4和1/8節(jié)拍的時間設(shè)定。如果單片機要實現(xiàn)自己播放音樂,那么必須在程序設(shè)計中考慮到節(jié)拍的設(shè)置,在89C51中,可以用一個直接存儲一個音符,其中低4位是節(jié)拍碼。節(jié)拍數(shù)和節(jié)拍碼的對照表如表2.5所示。表2.5 各調(diào)節(jié)拍的時間設(shè)定曲調(diào)值(1/8節(jié)拍)DELAY曲調(diào)值(1/4節(jié)拍)DELAY調(diào)4/462ms調(diào)4/4125ms調(diào)3/494ms調(diào)3/4185ms調(diào)2/4125ms調(diào)2/4250ms表2.6 節(jié)拍數(shù)與節(jié)拍碼的對照節(jié)拍碼節(jié)拍數(shù)節(jié)拍碼節(jié)拍數(shù)11/4拍11/8拍22/4拍21/4拍33/4拍33/8拍41拍41/2拍51.25拍55/8拍61.5拍63/4拍82拍81拍A2.25拍A1.25拍C3拍C1.5拍F3.75拍(4)音頻功放音樂的播放最后是通過揚聲器完成的,但是直接用單片機產(chǎn)生的音頻脈沖不足以直接驅(qū)動揚聲器來完成音樂的播放,因此這就要求在單片機端口與揚聲器之間鏈接功率放大電路,以實現(xiàn)最終的目的。評定功率放大電路有3個重要的指標(biāo),即輸出功率、效率、非線性失真。輸出功率P0:功率放大器應(yīng)在輸出不失真的情況下給出最大的交流輸出功率P0以推動負載工作,維持,功放元件一般工作在大信號狀態(tài),這就使功放元件的安全工作成為功率放大器的重要問題。效率:功率放大器的效率定義為功率放大器的輸出信號功率P0和直流電源供給功率放大器功率PE之比,用表示。功率放大器要求高效率地工作,一方面是為了提高輸出功率,另一方面是為了降低管耗。直流電源供給的功率除了一部分變成有用的信號功率以外,剩余部分編程晶體管的管耗。管耗過大將使功率管發(fā)熱損壞。所以,最與功率放大器,提高效率也是一個重要問題。非線性失真:功率放大器為了獲得足夠大的輸出功率,需要大信號激勵,從而使信號動態(tài)范圍往往超出晶體管的線性區(qū)域,導(dǎo)致輸出信號失真。因此減小非線性失真,成為功率放大器的又一個重要問題??偟膩碚f,要求功率放大器在保證系統(tǒng)安全運用的情況下,獲得盡可能大的輸出功率、盡可能高的效率和盡可能小的非線性失真。(5)部分代碼:RS BIT P3.3 ;定義液晶顯示端口標(biāo)號RW BIT P3.4E BIT P3.5;*L50MS EQU 60H;工作內(nèi)存定義 L1MS EQU 61HL250MS EQU 62HSEC EQU 65HMIN EQU 64HHOU EQU 63H;*ORG 0000h;主程序起始地址 LJMP mainORG 000BH;定時器中斷子程序0 LJMP TT0ORG 001BH LJMP T1INTORG 1000Hmain: ;-;液晶初始化MOV SP,#70H MOV P0,#01H ;清屏 CALL ENABLE MOV P0,#38H ;8位,2行顯示 57點矩陣 lCALL ENABLE MOV P0,#0FH ;屏顯on,光標(biāo)on,閃爍on lCALL ENABLE MOV P0,#06H ;計數(shù)地址加1,顯示幕on lCALL ENABLE;-內(nèi)存初始化 lcall initil ;-key1: lcall key lcall modd ljmp key1;-鍵盤掃描key: nop nop lcall ks jnz k1 ;有按鍵轉(zhuǎn)到K1 lcall kaiji lcall SOPxn: ljmp keyk1: lcall modd lcall modd lcall ks jnz k2 ljmp keyk2: mov R2,#0feh ;讀鍵盤 mov R4,#00hk3: mov A,R2 mov P1,A mov A,P1 JB acc.4,L1 ;為1跳轉(zhuǎn),第一行無按鍵 mov a,#00h ljmp lkL1: jb acc.5,L2 mov a,#04h ljmp lkL2: jb acc.6,L3 mov a,#08h ljmp lkL3: jb acc.7,next1 mov a,#0ch;-lk: add a,R4 push acck4: lcall delay1 ;若同時有其他按鍵,則等待 lcall ks jnz k4;-;按鍵值播放歌曲;-SOP: mov A,37h CJNE A,#01h,A11 LCALL MODD MOV 52H,#HIGH TABLE10 MOV 53H,#LOW TABLE10 LCALL INITILE2 lcall MAIN0A11: CJNE A,#02H,A22 LCALL MODD MOV 52H,#HIGH TABLE20 MOV 53H,#LOW TABLE20 LCALL INITILE2 lcall MAIN0A22: CJNE A,#03H,A33 LCALL MODD MOV 52H,#HIGH TABLE30 MOV 53H,#LOW TABLE30 LCALL INITILE2 lcall MAIN0 A99: CJNE A,#10H,AAA LCALL MODD MOV 52H,#HIGH TABLE100 MOV 53H,#LOW TABLE100 LCALL INITILE2 lcall MAIN0 AAA: RET 2.4硬件調(diào)試硬件調(diào)試:硬件調(diào)試是利用DVCC實驗與開發(fā)系統(tǒng)、基本測試儀器(萬用表、示波器等),檢查用戶系統(tǒng)硬件中存在的故障。硬件調(diào)試可分為靜態(tài)調(diào)試與動態(tài)調(diào)試兩步進行。靜態(tài)調(diào)試:是在用戶系統(tǒng)未工作時的一種硬件檢測。第一步:目測。檢查外部的各種元件或者是電路是否有斷點。第二步:用萬用表測試。先用萬用表復(fù)核目測中有疑問的連接點,再檢測各種電源線與地線之間是否有短路現(xiàn)象。第三步:加電檢測。給板加電,檢測所有插座或是器件的電源端是否符合要求的值 第四步:聯(lián)機檢查。因為只有用單片機開發(fā)系統(tǒng)才能完成對用戶系統(tǒng)的調(diào)試。 2.5軟件調(diào)試軟件調(diào)試是通過對程序的匯編、連接、執(zhí)行來發(fā)現(xiàn)程序中存在的語法錯誤與邏輯錯誤并加以排除糾正的過程。上電后,數(shù)碼管顯示光標(biāo)位,正常。按下按鍵F,LCD顯示歡字符。按下按鍵1,播放第一首歌曲,LCD顯示1,對應(yīng)揚聲器播放相應(yīng)曲目,歌曲播放完畢后可自動循環(huán)播放。分別按下其他曲目對應(yīng)按鍵,都能對應(yīng)播放相應(yīng)曲目,LCD顯示相應(yīng)曲目序號,歌曲播放完畢后可自動循環(huán)播放。按下按鍵C,播放之前曲目的下一曲,LCD顯示相應(yīng)曲目序號,揚聲器播放相應(yīng)曲目,歌曲播放完畢后可自動循環(huán)播放。按下按鍵D,播放之前曲目的上一曲,LCD顯示相應(yīng)曲目序號,揚聲器播放相應(yīng)曲目,歌曲播放完畢后可自動循環(huán)播放。按下按鍵E,播放暫停,LCD顯示相應(yīng)曲目序號,再次按下,繼續(xù)播放,揚聲器播放相應(yīng)曲目,歌曲播放完畢后可自動循環(huán)播放。重復(fù)以上過程。與要求相同,調(diào)試成功。整體運行效果圖圖2-13 實物整體效果LCD效果圖圖2-14 LCD運行效果3、項目設(shè)計總結(jié)或結(jié)論3.1課設(shè)總結(jié)通過這兩周的課程設(shè)計,使我更加扎實的掌握了有關(guān)單片機方面的知識,前期我們查閱相關(guān)資料,設(shè)計總體方案,然后設(shè)計每一步的實現(xiàn)過程,編寫和修改程序。在設(shè)計過程中雖然遇到了一些問題,但經(jīng)過一次又一次的思考,一遍又一遍的檢查終于找出了原因所在,也暴露出了前期我在這方面的知識欠缺和經(jīng)驗不足。實踐出真知,通過親自動手制作,使我們掌握的知識不再是紙上談兵。真是覺知此事要躬行?。≌n程設(shè)計是一門專業(yè)課,給我很多專業(yè)知識以及專業(yè)技能上的提升,同時又是一門講道課,一門辯思課,給了我許多道,給了我很多思,給了我莫大的空間。同時,設(shè)計讓我感觸很深。使我對抽象的理論有了具體的認(rèn)識。通過這次課程設(shè)計,我掌握了常用元件的識別和測試;熟悉了常用儀器、儀表;了解了電路的連線方法;以及如何提高電路的性能等等,掌握了焊接的方法和技術(shù),我認(rèn)為,在這學(xué)期的實驗中,不僅培養(yǎng)了獨立思考、動手操作的能力,在各種其它能力上也都有了提高。我們都可以在實驗結(jié)束之后變的更加成熟,會面對需要面對的事情。實驗過程中,也對團隊精神的進行了考察,讓我們在合作起來更加默契,在成功后一起體會喜悅的心情。果然是團結(jié)就是力量,只有互相之間默契融洽的配合才能換來最終完美的結(jié)果。此次設(shè)計也讓我明白了思路即出路,有什么不懂不明白的地方要及時請教或上網(wǎng)查詢,只要認(rèn)真鉆研,動腦思考,動手實踐,就會出真知,收獲頗豐。3.2課程設(shè)計感想 雖然在這次做音樂盒過程中遇到的一些問題,有的得到了很好的解決,有的問題還是沒能找到解決的方法。不過不管怎樣,我感覺我還是從中學(xué)到好了很多,特別是在這個解決問題的過程中,我學(xué)會了如何去發(fā)現(xiàn)問題、解決問題、還有如何去創(chuàng)新,有自己的一些想法。 感謝馬老師帶領(lǐng)我們一起做了這次非常有意義的課程設(shè)計。通過這次歷練,不管是知識方面的還是思想方面的,我覺得我都有了很大的提升。有了這次做單片機課程設(shè)計的寶貴經(jīng)歷,我相信不管是以后的畢業(yè)設(shè)計還是將來的工作,都會從這次的課程設(shè)計中吸收經(jīng)驗和方法,這將是我人生的很大一筆財富。 另外,從這次課程設(shè)計中我也看到了自己的很多不足,很多地方我都需要學(xué)習(xí)需要進步。例如這次課程設(shè)計對我而言最大的阻礙就是看不懂匯編程序,所以有些自己的想法想通過程序?qū)崿F(xiàn)的時候都因為看不懂程序而被迫罷手。所以,在以后的學(xué)習(xí)中,我要廣泛學(xué)習(xí),從多方面豐富自己的知識、提高自己的能力。知識很重要,但是動手實踐比理論知識更重要在以后的學(xué)習(xí)時間里,我要自己多動手,做出一些有意義的東西。 4、參考文獻1 楊剛,周群.電子系統(tǒng)設(shè)計與實踐.電子工業(yè)出版社,2004:18-23 341-347 118-1222 何立民.單片機高級教程(應(yīng)用與設(shè)計).北京航空航天大學(xué)出版社,2000:53983 涂時亮,張友德.單片微機控制技術(shù).清華大學(xué)出版社,1994:86-87 146-1474 單片機原理及接口技術(shù)實驗 朱定華 北京 北方交通大學(xué)出版社,2002.11項目設(shè)計評 語項目設(shè)計成 績指導(dǎo)教師(簽字) 年 月 日

注意事項

本文(單片機音樂播放器報告.doc)為本站會員(jian****018)主動上傳,裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng)(點擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因為網(wǎng)速或其他原因下載失敗請重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!