歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

《數(shù)字電子技術(shù)》模擬題一.doc

  • 資源ID:9372753       資源大?。?span id="mxqqhen" class="font-tahoma">529KB        全文頁數(shù):19頁
  • 資源格式: DOC        下載積分:9.9積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要9.9積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說明有答案則都視為沒有答案,請(qǐng)知曉。

《數(shù)字電子技術(shù)》模擬題一.doc

數(shù)字電子技術(shù)模擬題一一、單項(xiàng)選擇題(210分)1下列等式成立的是( )A、 A1=A B、 A0=A C、A+AB=A D、A+AB=B2函數(shù)的標(biāo)準(zhǔn)與或表達(dá)式是( )A、F=m(1,3,4,7,12) B、F=m(0,4,7,12)C、F=m(0,4,7,5,6,8,9,10,12,13,14,15) D、F=m(1,2,3,5,6,8,9,10,11,13,14,15)3屬于時(shí)序邏輯電路的是( )。A、寄存器 B、ROM C、加法器 D、編碼器4同步時(shí)序電路和異步時(shí)序電路比較,其差異在于后者( )A、沒有觸發(fā)器 B、沒有統(tǒng)一的時(shí)鐘脈沖控制 C、沒有穩(wěn)定狀態(tài) D、輸出只與內(nèi)部狀態(tài)有關(guān),與輸入無關(guān)5將容量為2564的RAM擴(kuò)展成1K8的RAM,需( )片2564的RAM。A、 16 B、2 C、4 D、8 6在下圖所示電路中,能完成邏輯功能的電路有( )。A、 B、 C、 D、7函數(shù)F=C+AB+,無冒險(xiǎn)的組合為( )。A、 B=C=1 B、 A=0,B=0 C、 A=1,C=0 D、 B=C=O8存儲(chǔ)器RAM在運(yùn)行時(shí)具有( )。A、讀功能 B、寫功能 C、讀/寫功能 D、 無讀/寫功能9觸發(fā)器的狀態(tài)轉(zhuǎn)換圖如下,則它是:( )A、T觸發(fā)器B、RS觸發(fā)器C、JK觸發(fā)器D、D觸發(fā)器10將三角波變換為矩形波,需選用( )A、多諧振蕩器 B、施密特觸發(fā)器 C、雙穩(wěn)態(tài)觸發(fā)器 D、單穩(wěn)態(tài)觸發(fā)器二、判斷題(110分)( )1、在二進(jìn)制與十六進(jìn)制的轉(zhuǎn)換中,有下列關(guān)系:(1001110111110001)B=(9DF1)H( )2、8421碼和8421BCD碼都是四位二進(jìn)制代碼。( )3、二進(jìn)制數(shù)1001和二進(jìn)制代碼1001都表示十進(jìn)制數(shù)9。( )4、TTL與非門輸入采用多發(fā)射極三極管,其目的是提高電路的開關(guān)速度。( )5、OC與非門的輸出端可以并聯(lián)運(yùn)行,實(shí)現(xiàn)“線與”關(guān)系,即L=L1+L2( )6、CMOS門電路中輸入端懸空作邏輯0使用。( )7、數(shù)字電路中最基本的運(yùn)算電路是加法器。( )8、要改變觸發(fā)器的狀態(tài),必須有CP脈沖的配合。( )9、容量為2564的存儲(chǔ)器,每字4位,共計(jì)256字,1024個(gè)存儲(chǔ)單元。( )10、自激多諧振蕩器不需外加觸發(fā)信號(hào),就能自動(dòng)的輸出矩形脈沖。三、分析計(jì)算題(76分)1、如果的最簡(jiǎn)與或表達(dá)式為是否存在約束條件?如果存在,試指出約束條件。2、下圖為雙4選1數(shù)據(jù)選擇器構(gòu)成的組合邏輯電路,輸入量為A、B、C,輸出邏輯函數(shù)為F1,F(xiàn)2,試寫出F1、F2,邏輯表達(dá)式。3、用一片74138譯碼器和門電路實(shí)現(xiàn)全加器,寫出真值表,畫出電路圖。4、分析下圖所示電路的邏輯功能,并將結(jié)果填入下表。5、電路如下圖所示,設(shè)起始狀態(tài)Q2Q1=00,問經(jīng)過系統(tǒng)時(shí)鐘信號(hào)3個(gè)CP脈沖作用后,Q2Q1處于什么狀態(tài)?并畫出Q2Q1的波形。6、圖示電路是PAL的一種極性可編程輸出結(jié)構(gòu),若要求,試用符號(hào)“”對(duì)該電路矩陣進(jìn)行恰當(dāng)?shù)木幊?。四、設(shè)計(jì)題(共2小題,1小題12分,2小題8分,共20分)1、 試用正邊沿D觸發(fā)器和門器件設(shè)計(jì)一個(gè)狀態(tài)轉(zhuǎn)換如02413的模5同步計(jì)數(shù)器。并檢查電路的自啟動(dòng)能力。2、用兩片74LS290異步十進(jìn)制計(jì)數(shù)器芯片設(shè)計(jì)一個(gè)60進(jìn)制計(jì)數(shù)器的電路,畫出電路連接圖。附:74LS290集成芯片功能表CPR01R02R91R92功能11任一為0清0(QDQCQBQA=0000)任 意11置9(QDQCQBQA=1001)任一為0任一為0計(jì)數(shù)五、綜合題(8分)試用8選1數(shù)據(jù)選擇器74151和四位同步二進(jìn)制加法計(jì)數(shù)器74LS161芯片設(shè)計(jì)序列信號(hào)發(fā)生器,序列信號(hào)為11001101(左位在先),畫出電路連線圖。附74LS161四位同步二進(jìn)制加法計(jì)數(shù)器芯片功能表。數(shù)字電子技術(shù)模擬題二一、單項(xiàng)選擇題(210分)1在下列數(shù)據(jù)中,數(shù)值最小的是( )A、 59H B、 130O C、1010111B D、100101118421BCD2函數(shù) 的標(biāo)準(zhǔn)與或表達(dá)式是( )A、 F=m(0,1,3,4,7,11,13,15) B、F=m(0,1,6,7,8,9,10,11)C、F=m(0,1,6,7,12,13,14,15) D、F=m(0,1,4,7,12,13,14,15)3典型的五管TTL與非門,輸入端采用多發(fā)射極三極管是為了:A、放大輸入信號(hào) B、實(shí)現(xiàn)或邏輯C、提高帶負(fù)載能力 D、提高工作速度4電路由TTL門電路組成,F的邏輯表達(dá)式是( )。A、B、C、D、5為實(shí)現(xiàn)“線與”的邏輯功能,應(yīng)選用:A、與門 B、與非門 C、傳輸門 D、集電極開路門6下列哪類觸發(fā)器有一次變化現(xiàn)象( )。A、同步RS觸發(fā)器 B、主從JK觸發(fā)器 C、邊沿JK觸發(fā)器 D、邊沿D觸發(fā)器7集成十進(jìn)制加法計(jì)數(shù)器初態(tài)為Q3Q2Q1Q0=1001,經(jīng)過5個(gè)CP脈沖后,計(jì)數(shù)器狀態(tài)為( )A、0000 B、0100 C、0101 D、1110下面說法錯(cuò)誤的是( )A、RAM分為靜態(tài)RAM和動(dòng)態(tài)RAM B、RAM指在存儲(chǔ)器中任意指定的位置讀寫信息C、譯碼電路采用CMOS或非門組成9用容量為16K8位存儲(chǔ)芯片構(gòu)成容量為64K8位的存儲(chǔ)系統(tǒng),需( )片16K8位存儲(chǔ)芯片,需( )根地址線,()根數(shù)據(jù)線。A、 4,16,8 B、4,14,8 C、2,16,8 D、2,14,16 10集成單穩(wěn)態(tài)觸發(fā)器的暫穩(wěn)態(tài)維持時(shí)間取決于( )。A、 R、C元件參數(shù) B、所用門電路的傳輸延遲時(shí)間C、觸發(fā)脈沖持續(xù)的時(shí)間 D、器件本身的參數(shù) 二、判斷題(110分)( )1、8421碼和8421BCD碼都是四位二進(jìn)制代碼。( )2、二進(jìn)制數(shù)代碼1000和二進(jìn)制代碼1001都可以表示十進(jìn)制數(shù)8。( )3、保險(xiǎn)庫有一把鎖,A、B兩名經(jīng)理各有一把鑰匙,必須兩名經(jīng)理同時(shí)在才能開鎖。用F表示打開保險(xiǎn)庫鎖的狀態(tài),F(xiàn)的邏輯表達(dá)式為:( )4、TSL門輸出有三種狀態(tài)。( )5、TG門只用于數(shù)字信號(hào)的傳輸。( )6、CMOS門電路中輸入端懸空作邏輯0使用。( )7、要改變觸發(fā)器的狀態(tài),必須有CP脈沖的配合。( )8、掩膜ROM只能改寫有限次。( )9、將三角波變換為矩形波,需選用施密特觸發(fā)器。( )10、矩形脈沖只能通過自激振蕩產(chǎn)生。三、分析計(jì)算題(1-5小題每題8分,6小題10分,共50分)1、 電路如圖所示:(1)、按圖直接寫出Y的表達(dá)式(2)、根據(jù)反演規(guī)則寫出Y的反函數(shù) (3)、根據(jù)對(duì)偶規(guī)則寫出Y的對(duì)偶式(4)、寫出Y的最簡(jiǎn)與或表達(dá)式2222、 組合邏輯電路輸入(X、Y、Z)輸出(L)波形如圖所示,分析該電路的邏輯功能。并用最少的兩輸入與非門實(shí)現(xiàn)(無反變量輸入)3、已知某觸發(fā)器的狀態(tài)轉(zhuǎn)換圖,寫出此觸發(fā)器的特性方程,并用D和JK觸發(fā)器實(shí)現(xiàn)它。4、電路由JK觸發(fā)器及與非門構(gòu)成,試寫出特性方程、驅(qū)動(dòng)方程和狀態(tài)方程。該電路若在K輸入處以置0代替Qn,則電路功能是否會(huì)改變?5、圖示電路是PAL的一種極性可編程輸出結(jié)構(gòu),若要求,試用符號(hào)“”對(duì)該電路矩陣進(jìn)行恰當(dāng)?shù)木幊獭?、由集成四位比較器74LS85和集成計(jì)數(shù)器74LS161構(gòu)成一個(gè)定時(shí)電路如圖所示,Z是信號(hào)輸出端。比較器A3A2A1A0預(yù)置為1001,計(jì)數(shù)器的數(shù)據(jù)輸入端DCBA預(yù)置為0010,試問:(1) 當(dāng) Z接在LD端時(shí)(RD置1),一個(gè)Z脈沖周期內(nèi)包含多少個(gè)時(shí)鐘脈沖CP?(2) 當(dāng) Z接在RD端時(shí)(LD置1),一個(gè)Z脈沖周期內(nèi)又包含多少個(gè)時(shí)鐘脈沖CP?簡(jiǎn)單寫出分析過程四、設(shè)計(jì)題(102分)2、 試用正邊沿JK觸發(fā)器和門器件設(shè)計(jì)一個(gè)??勺兺綔p計(jì)數(shù)器。當(dāng)X=0時(shí)M=3;當(dāng)X=1時(shí),M=4。檢查電路的自啟動(dòng)能力。2、用兩片74LS290(異步二-五-十進(jìn)制加計(jì)數(shù)器)芯片設(shè)計(jì)一個(gè)54進(jìn)制加計(jì)數(shù)器,畫出電路連接圖。附:74LS290集成芯片功能表CPR01R02R91R92功能11任一為0清0(QDQCQBQA=0000)任 意11置9(QDQCQBQA=1001)任一為0任一為0計(jì)數(shù)數(shù)字電子技術(shù)模擬題三一、選擇題(210分)1、F=AB+CD的真值表中,F(xiàn)=1的狀態(tài)有:( )a、2個(gè) b、4個(gè) c、6個(gè) d、8個(gè)2、在系列邏輯運(yùn)算中,錯(cuò)誤的是:( )a、 若A=B,則AB=A b、若1+A=B,則1+A+AB=BAZ10kc、 A+B=B+C,則A=C d、都正確3、雙輸入CMOS與非門如右圖,輸出Z為:( )a、Z=A b、Z= c、Z=0 d、Z=14、欲使一路數(shù)據(jù)分配到多路裝置應(yīng)選用帶使能端的:( )a、編碼器 b、譯碼器 c、選擇器 d、比較器5、JK觸發(fā)器在CP脈沖作用下,欲使Qn+1=1,則必須使:( )a、J=1,K=0 b、J=0,K=0 c、J=0,K=1 d、J=1,K=16、觸發(fā)器的狀態(tài)轉(zhuǎn)換圖如下,則它是:( )a、 RS觸發(fā)器 b、D觸發(fā)器c、JK觸發(fā)器 d、T觸發(fā)器7、將三角波變換為矩形波,需選用:( )a、施密特觸發(fā)器 b、多諧振蕩器 c、雙穩(wěn)態(tài)觸發(fā)器 d、單穩(wěn)態(tài)觸發(fā)器8、 如 圖 所 示 時(shí) 序 邏 輯 電 路 為( )。a、 移位 寄 存 器 b、 同步 二 進(jìn) 制 加 法 計(jì) 數(shù) 器 c、 異 步 二 進(jìn) 制 減 法 計(jì) 數(shù) 器 c、 異 步 二 進(jìn) 制 加 法 計(jì) 數(shù) 器9、 邏 輯 電 路 如 圖 所 示, 當(dāng) A=“0”,B=“1” 時(shí),脈 沖 來 到 后 觸 發(fā) 器 ( )。a、置“0” b、保 持 原 狀 態(tài) c、置“1” d、具 有 計(jì) 數(shù) 功 能 10、 如圖所示邏輯電路為( )。a、 同步二進(jìn)制加法計(jì)數(shù)器b、 異步二進(jìn)制加法計(jì)數(shù)器c、 同步二進(jìn)制減法計(jì)數(shù)器d、 異步二進(jìn)制減法計(jì)數(shù)器二、判斷題(210分)( )1、在二進(jìn)制與十六進(jìn)制的轉(zhuǎn)換中,有下列關(guān)系:(1001110111110001)B=(9DF1)H( )2、8421碼和8421BCD碼都是四位二進(jìn)制代碼。( )3、二進(jìn)制數(shù)1001和二進(jìn)制代碼1001都表示十進(jìn)制數(shù)9。( )4、TTL與非門輸入采用多發(fā)射極三極管,其目的是提高電路的抗干擾能力。( )5、OC與非門的輸出端可以并聯(lián)運(yùn)行,實(shí)現(xiàn)“線與”關(guān)系,即L=L1+L2( )6、在具有三組與輸入端的與或非門中,當(dāng)只使用其中的兩組與輸入端時(shí),余下的一組與輸入端應(yīng)接高電平。( )7、數(shù)字電路中最基本的運(yùn)算電路是加法器。( )8、要改變觸發(fā)器的狀態(tài),必須有CP脈沖的配合。( )9、容量為2564的存儲(chǔ)器,每字4位,共計(jì)256字,1024個(gè)存儲(chǔ)單元。( )10、自激多諧振蕩器不需外加觸發(fā)信號(hào),就能自動(dòng)的輸出矩形脈沖。三、化簡(jiǎn)邏輯函數(shù)(12分)1、 (6分)用公式法:2、 (6分)用卡諾圖法:四、組合邏輯電路(18分)1、設(shè)有一組合邏輯部件,不知內(nèi)部結(jié)構(gòu),測(cè)得其輸入波形A,B,C與輸出波形L如圖所示,1)試列寫出真值表;2)寫出邏輯表達(dá)式;3)畫出由74138譯碼器構(gòu)成邏輯圖。(本大題10分)2、下圖為雙4選1數(shù)據(jù)選擇器構(gòu)成的組合邏輯電路,輸入量為A、B、C,輸出邏輯函數(shù)為F1,F(xiàn)2,試寫出F1,F(xiàn)2,邏輯表達(dá)式。(8分)五、時(shí)序邏輯電路(20分)1. (8分)設(shè)負(fù)邊沿JK觸發(fā)器的初始狀態(tài)為0,CP、J、K信號(hào)如圖所示,試畫出Q端的波形。2、(12分)邏輯電路如圖所示,1. 寫出時(shí)鐘方程,2. 寫出驅(qū)動(dòng)方程,3. 求解狀態(tài)方程,4. 列寫狀態(tài)表,5. 已知C脈沖波形,畫出輸出,的波形,判斷該計(jì)數(shù)器是加法還是減法?是異步還是同步?(設(shè),的初始狀態(tài)均為“00”)。(12分)六、 綜合題設(shè)計(jì)(10分)四位二進(jìn)制計(jì)數(shù)器74161的功能表和邏輯符號(hào)如下圖所示。1、 試說明該器件的各引腳的作用。2、 分別用清零法和置數(shù)法和適當(dāng)?shù)倪壿嬮T構(gòu)造9進(jìn)制計(jì)數(shù)器。數(shù)字電子技術(shù)模擬題一解答及評(píng)分標(biāo)準(zhǔn)一、單項(xiàng)選擇題(210分)1、C2、D3、A4、B5、D6、B7、D8、C9、A10、B評(píng)分標(biāo)準(zhǔn):每題2分,做對(duì)一個(gè)2分,錯(cuò)誤不給分。二、判斷題(110分)1、2、3、4、5、6、7、8、9、10、評(píng)分標(biāo)準(zhǔn):每題1分,做對(duì)一個(gè)1分,錯(cuò)誤不給分。三、分析計(jì)算題(76分)1、,(3分)要使則F中含有無關(guān)項(xiàng)(1分),無關(guān)項(xiàng)為:(3分)。2、解答如下:(寫對(duì)一個(gè)3.5分)3、全加器真值表列出見右圖(3分)Ci-1 Bi AiSiCi0 0 0000 0 1100 1 0100 1 1011 0 0101 0 1011 1 0011 1 111電路連對(duì)4分,其中使能端接對(duì)1.5分(每個(gè)0.5分),信號(hào)輸入端接對(duì)0.5分,輸出接對(duì)2分(每個(gè)1分)。4、Y的表達(dá)式如下:寫出三態(tài)門輸出1分,真值表一個(gè)1.5分,共6分。5、輸出波形圖中兩個(gè)T觸發(fā)器由于信號(hào)T=,都是T觸發(fā)器。只要受到時(shí)鐘脈沖信號(hào)的觸發(fā),觸發(fā)器就翻轉(zhuǎn)。但是第二個(gè)觸發(fā)器的時(shí)鐘脈沖信號(hào)應(yīng)為CP2=+CP,只有當(dāng)1=0時(shí),第二個(gè)觸發(fā)器才會(huì)隨著CP脈沖由01,得到上升沿觸發(fā)而改變狀態(tài)。畫出的Q1Q2波形如上圖(b)所示。(分析2分)從工作波形圖可知,經(jīng)過系統(tǒng)時(shí)鐘脈沖信號(hào)3個(gè)CP脈沖作用后,Q2Q1處于11狀態(tài)。(1分),波形畫對(duì)4分。6、方案之一:(4分),編程畫對(duì)3分。四、設(shè)計(jì)題(共2小題,1小題12分,2小題8分,共20分)1、解:設(shè)計(jì)步驟如下:(1)確定觸發(fā)器個(gè)數(shù)K。K=3,因?yàn)闋顟B(tài)數(shù)N=5,符合2K-1<N<2K。電路狀態(tài)用Q3Q2Q1表示。(1分)(2)列狀態(tài)轉(zhuǎn)換真值表。根據(jù)D觸發(fā)器的次態(tài)方程,列狀態(tài)轉(zhuǎn)換真值表,如下表表示。(3分),各個(gè)量填對(duì)計(jì)0.5分。狀態(tài)轉(zhuǎn)換真值表Q3Q2Q1D3D2D1001000100100011010001001000110010001001000110(3)求激勵(lì)輸入方程組。首先要根據(jù)狀態(tài)轉(zhuǎn)換真值表,畫D3、D2、D1的卡諾圖,然后通過卡諾圖化簡(jiǎn)得到激勵(lì)輸入方程。D3、D2、D1的卡諾圖如下圖所示。經(jīng)過卡諾圖化簡(jiǎn)得到激勵(lì)輸入方程如下:驅(qū)動(dòng)方程一個(gè)1分,共計(jì)3分。(4)畫電路圖。由激勵(lì)輸入方程組,可畫電路圖如下圖所示。(3分)(5)檢查能否自啟動(dòng)。首先將非工作狀態(tài)101,110,111分別代入激勵(lì)方程D3、D2、D1中,然后根據(jù)D觸發(fā)器次態(tài)方程,可知所有的非工作狀態(tài)都能進(jìn)入工作狀態(tài),即101001;110101001;111001。因此電路可以自啟動(dòng)。(1分)(6)畫完整狀態(tài)轉(zhuǎn)換圖如下圖所示。(1分)2、連接電路如圖所示:評(píng)分標(biāo)準(zhǔn):清零端接對(duì)各2分,共4分;置位端接對(duì)各1分,共2分,CPB接對(duì)各0.5分,共1分,高位CPA接對(duì)1分。五、綜合題(8分)解:由于序列信號(hào)的長度N=8,因此首先要將74LS161作為一個(gè)模8計(jì)數(shù)器使用。(1分)當(dāng)74LS161芯片的輸入端P、T、都接高電平“1”時(shí),芯片就是一個(gè)模16計(jì)數(shù)器,QDQCQBQA的狀態(tài)號(hào)從0、1、2直至15。如果不使用輸出端QD,則QCQBQA的狀態(tài)號(hào)從0、1、2直至7。在這種情況下,芯片就可當(dāng)作模8計(jì)數(shù)器使用。(2分)設(shè)8選1數(shù)據(jù)選擇器的地址信號(hào)輸入端從高到低為C、B、A,而74LS161芯片的4個(gè)數(shù)據(jù)輸出端從高到低為QD、QC、QB、QA。只需將QA接A,QB接B,QC接C,(2分)數(shù)據(jù)選擇器的8個(gè)數(shù)據(jù)輸入端X0至X7分別接1、1、0、0、1、1、0、1就可以實(shí)現(xiàn)設(shè)計(jì)目的。(2分)電路圖如下圖所示,圖中F為序列信號(hào)輸出端。(圖中D、C、B、A接地,是為了避免干擾信號(hào)進(jìn)入。)(1分)序列信號(hào)發(fā)生器電路圖數(shù)字電子技術(shù)模擬題二參考答案及評(píng)分標(biāo)準(zhǔn)一、單項(xiàng)選擇題(210分)1C2D3D4C5D6B7B8C9A10。A評(píng)分標(biāo)準(zhǔn):答對(duì)1個(gè)記2分,答錯(cuò)不得分。二、判斷題(110分)12345678910。評(píng)分標(biāo)準(zhǔn):答對(duì)1個(gè)記1分,答錯(cuò)不得分。三、分析計(jì)算題(1-5小題每題8分,6小題10分,共50分)1、(1) 3分 (2) 1分(3) 1分(4) 3分評(píng)分標(biāo)準(zhǔn)如上,若方法不同,按結(jié)論酌情給分。2、8分(1)表達(dá)式: 4分邏輯功能:判奇電路 2分電路圖:2分 P H0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 101101110若方法不同,按結(jié)論酌情給分。3、8分(1)狀態(tài)真植表:(4分)(2) 特性方程: (1分) (3)JK觸發(fā)器的特性方程: (1分)(4)D觸發(fā)器的特性方程: (1分)圖(1分)若方法不同,按結(jié)論酌情給分。4、8分JK觸發(fā)器的特性方程: 2分驅(qū)動(dòng)方程: 2分狀態(tài)方程: 2分若 1分電路功能會(huì)改變。 1分若方法不同,按結(jié)論酌情給分。5、8分評(píng)分標(biāo)準(zhǔn):第1行編程 3分; 第2行編程 3分第3、4行編程 1分; 第5行編程 1分若方法不同,按結(jié)論酌情給分。6、10分(1) 一個(gè)Z脈沖周期內(nèi)包含8個(gè)時(shí)鐘脈沖CP。 5分(2) 一個(gè)Z脈沖周期內(nèi)又包含9個(gè)時(shí)鐘脈沖CP。 5分若方法不同,按結(jié)論酌情給分。四、設(shè)計(jì)題(102分)1、 設(shè)計(jì)題(10分)(1)狀態(tài)表:6分X 0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 11 00 00 1 1 10 00 11 0(2)表達(dá)式 2分(3)檢查自啟動(dòng)能力:當(dāng)時(shí),下一個(gè)狀態(tài)為11,所以電路有自啟動(dòng)能力。 1分(4)電路圖 1分若方法不同,按結(jié)論酌情給分。2、設(shè)計(jì)題(10分)按上面連線正確的得滿分。若方法不同,按結(jié)論酌情給分。具體給分步驟如下:連成100進(jìn)制得8分,控制信號(hào)得2分。數(shù)字電子技術(shù)模擬題三參考答案一、 選擇題(20分)1、c ;2、c;3、d;4、b;5、a;6、b;7、a;8、a;9、d;10、b二、 判斷題(20分)1、;2、;3、;4、;5、;6、;7、;8、;9、;10、。三、 1、(6分)L=ABC2、(6分)CBAL00010010010001111000101111011110四、 1、(10分)2、(8分)五、1.(8分)2. (12分)1)CP0=C,(下降沿觸發(fā)) CP1=Q0n(下降沿觸發(fā))2)3) 狀 態(tài) 表 波 形 圖功能:4位二進(jìn)制異步加法計(jì)數(shù)器六、(10分)1. RD為清零端,異步清零;LD為置數(shù)控制端,同步置數(shù);A、B、C、D為置數(shù)輸入端,A為低位,D為高位;QA、QB、QC、QD為輸出端,QA為低位、QD為高位;RCO為輸出使能控制端。2、

注意事項(xiàng)

本文(《數(shù)字電子技術(shù)》模擬題一.doc)為本站會(huì)員(wux****ua)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!