歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

電子設(shè)計自動化實驗報告.doc

  • 資源ID:8976464       資源大小:615KB        全文頁數(shù):21頁
  • 資源格式: DOC        下載積分:9.9積分
快捷下載 游客一鍵下載
會員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要9.9積分
郵箱/手機:
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機號,方便查詢和重復(fù)下載(系統(tǒng)自動生成)
支付方式: 支付寶    微信支付   
驗證碼:   換一換

 
賬號:
密碼:
驗證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會被瀏覽器默認(rèn)打開,此種情況可以點擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說明有答案則都視為沒有答案,請知曉。

電子設(shè)計自動化實驗報告.doc

湖南工業(yè)大學(xué)理學(xué)院實驗名稱熟悉QuartusII的圖形輸入法實驗地點理學(xué)樓210實驗時間2016.10.16實驗成績一、 實驗?zāi)康募叭蝿?wù)掌握QuartusII的使用方法(1) 熟悉圖形輸入法(2) 理解編譯方法(3) 了解定時仿真二、 實驗內(nèi)容與步驟(1)設(shè)計一個二選一數(shù)據(jù)選擇器、全加法器。(2)根據(jù)圖形輸入法編譯和波形仿真。三、 實驗電路或者實驗源程序二選一電路圖:全加器電路圖:四、實驗結(jié)果二選一結(jié)果圖:全加器結(jié)果圖:實驗名稱熟悉QuartusII的VHDL語言描述輸入法實驗地點理學(xué)樓210實驗時間2016.10.23實驗成績1、 實驗?zāi)康募叭蝿?wù)(1) VHDL語言描述輸入法(2) 理解編譯方法(3) 熟悉波形仿真2、 實驗內(nèi)容與步驟(1)設(shè)計一個4位并行奇校驗發(fā)生器(2)根據(jù)VHDL語言描述輸入法編譯和波形仿真三、實驗電路或者實驗源程序VHDL程序:library ieee;use ieee.std_logic_1164.all;entity parity_loop isport (a : in std_logic_vector(0 to 2); b : in std_logic; y : out std_logic);end parity_loop;architecture a of pa rity_loop issignal s : std_logic_vector(0 to 3);beginprocess(a)begins(0)<=b;for i in 0 to 2 loops(i+1)<=s(i)xor a(i);end loop;y<=s(3);end process;end a;電路圖:四、實驗結(jié)果實驗名稱JK觸發(fā)器的設(shè)計實驗地點理學(xué)樓210實驗時間2016.10.31實驗成績一、實驗?zāi)康募叭蝿?wù)掌握QuartusII的VHDL語言描述輸入法(1) 掌握VHDL語言描述輸入法(2) 掌握VHDL語言二、實驗內(nèi)容與步驟(1)設(shè)計一個JK觸發(fā)器(2)根據(jù)VHDL語言描述輸入法編譯和波形仿真。輸入輸出prnclrclkJKQQb01xxx1010xxx0100xxxxx11上升沿00不變不變11上升沿010111上升沿101011上升沿11翻轉(zhuǎn)翻轉(zhuǎn)其中 預(yù)置端prn 復(fù)位端clr 時鐘端clk三、實驗電路或者實驗源程序源程序:四、實驗結(jié)果實驗名稱6位雙向移位寄存器的設(shè)計實驗地點理學(xué)樓210實驗時間2016.11.03實驗成績一、實驗?zāi)康募叭蝿?wù)掌握QuartusII的VHDL語言描述輸入法(1) 掌握VHDL語言描述輸入法(2) 掌握VHDL語言(3) 理解if語句進行描述計數(shù)器。(4)設(shè)計一個6位雙向移位寄存器2、 實驗內(nèi)容與步驟(1)根據(jù)VHDL語言描述輸入法編譯和波形仿真。端口說明:預(yù)置數(shù)據(jù)輸入端:predata 脈沖輸入端:clk 移位寄存器輸出端:dout 工作模式控制端:M1,M0 左移串行數(shù)據(jù)輸入:ds1 右移串行數(shù)據(jù)輸入(低位向高位):dsr 寄存器復(fù)位端:reset(2) 用QuartusII軟件編譯和波形仿真(3) 工作模式控制表:M1 M0模式0 0保持0 1右移1 0 左移1 1預(yù)加載三、實驗電路或者實驗源程序源程序:4、 實驗結(jié)果 前半段 后半段實驗名稱電子鐘的VHDL程序設(shè)計實驗地點理學(xué)樓210實驗時間2016.11.10實驗成績一、實驗?zāi)康募叭蝿?wù)掌握QuartusII的VHDL語言描述輸入法(1) 掌握VHDL語言描述輸入法(2) 掌握VHDL語言(3) 掌握VHDL語言描述和圖形設(shè)計的結(jié)合(4) 設(shè)計一個含時、分、秒的時鐘 (5) 用QuartusII軟件編譯和波形仿真二、實驗內(nèi)容與步驟(1)設(shè)計電子鐘的VHDL程序(2)根據(jù)VHDL語言描述輸入法編譯和波形仿真。三、實驗電路或者實驗源程序源程序:(1) 60進制(分和秒):(2) 十進制VHDL: (3)六進制VHDL: (4)24進制(時):(4) 電子時鐘頂層文件四、實驗結(jié)果 實驗名稱七段數(shù)碼顯示譯碼器設(shè)計實驗地點理學(xué)樓210實驗時間2016.11.24實驗成績一、實驗?zāi)康募叭蝿?wù)(1) 掌握使用并行下載程序(2) 掌握數(shù)碼顯示的原理(3) 掌握FPGA開發(fā)板的基本結(jié)構(gòu)(4) 設(shè)計一個能顯示1-9數(shù)字的程序,用數(shù)碼管顯示數(shù)字二、實驗內(nèi)容與步驟(1)設(shè)計譯碼器的VHDL程序(由計數(shù)器得到譯碼器的輸入值)(2)將VHDL程序下載到FPGA芯片中(3)連接連線,觀察數(shù)碼顯示的結(jié)果(共陰數(shù)碼管)3、 實驗電路或者實驗源程序源程序:4、 實驗結(jié)果實驗名稱預(yù)置分頻器實驗實驗地點理學(xué)樓210實驗時間2016.12.01實驗成績一、實驗?zāi)康募叭蝿?wù)(1) 掌握使用并行下載程序(2) 掌握VHDL語言(3) 掌握分頻器的設(shè)計方法(4) 設(shè)計一個預(yù)置分頻器,用揚聲器測試分頻結(jié)果(5) 掌握if語句二、實驗內(nèi)容與步驟(1)根據(jù)VHDL語言描述輸入法編譯和波形仿真(2)將VHDL程序下載到FPGA芯片中(3)連接連線,用揚聲器聽不同分頻數(shù)的聲音三、實驗電路或者實驗源程序源程序:四、實驗結(jié)果實驗名稱交通燈控制器實驗實驗地點理學(xué)樓210實驗時間2016.12.12實驗成績一、實驗?zāi)康募叭蝿?wù)(1) 掌握使用并行下載程序(2) 掌握VHDL語言(3) 掌握時序邏輯電路的設(shè)計方法(4) 設(shè)計一個交通燈控制器,用LED顯示控制過程(5) 掌握使用process進程二、實驗內(nèi)容與步驟(1)根據(jù)VHDL語言描述輸入法編譯和波形仿真(2)將VHDL程序下載到FPGA芯片中(3)連接連線,模擬觀察交通燈控制的過程三、實驗電路或者實驗源程序(1)頂層模塊圖:(2) 數(shù)碼管VHDL: (3) 狀態(tài)VHDL:四、實驗結(jié)果實驗心得在剛開始學(xué)習(xí)電子設(shè)計自動化那幾節(jié)課,我感覺這課程挺無聊的,各種型號、各種構(gòu)造、各種下載方式搞得我眼花繚亂。在第五章開始學(xué)習(xí)VHDL編程語法的時候,雖然聽得是很仔細(xì),卻總是感覺并沒有真正了解。當(dāng)開始安排實驗課程之后,才對這門課程有了更為深刻的認(rèn)識,這個科目是個更偏向于實踐的課程,自我感覺,實驗需要趕上理論課程,因為我覺得在實驗中,我能更全面的了解整個FPGA工程的構(gòu)造,就像是面向?qū)ο缶幊痰乃枷?,先抽象出一個整體,在把各個方面的東西具體化,進而全面了解整個體統(tǒng),而不是先把各個整體弄出來,然后再拼裝成一個整體。事實證明,我的想法是對的。經(jīng)過第二個實驗之后,我覺得我已經(jīng)了解FPGA有了入門級的了解,并且書上的內(nèi)容就像是查看數(shù)據(jù)手冊一樣,簡單易懂。但是在做實驗之前,我只能像背課文一樣,把每個知識點牢記于心,這樣的效率和效果是極其低的。所以,自我感覺,偏向?qū)嵺`類的科目不應(yīng)該只是盲目的把理論課程上好,更應(yīng)該在上課的同時開展實驗,雙管齊下,才能更有效果。

注意事項

本文(電子設(shè)計自動化實驗報告.doc)為本站會員(wux****ua)主動上傳,裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng)(點擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因為網(wǎng)速或其他原因下載失敗請重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!