歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > DOCX文檔下載  

自動升降電梯控制器設(shè)計.docx

  • 資源ID:7876512       資源大?。?span id="xu2b61h" class="font-tahoma">1.08MB        全文頁數(shù):18頁
  • 資源格式: DOCX        下載積分:9.9積分
快捷下載 游客一鍵下載
會員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要9.9積分
郵箱/手機:
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機號,方便查詢和重復(fù)下載(系統(tǒng)自動生成)
支付方式: 支付寶    微信支付   
驗證碼:   換一換

 
賬號:
密碼:
驗證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會被瀏覽器默認打開,此種情況可以點擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標題沒有明確說明有答案則都視為沒有答案,請知曉。

自動升降電梯控制器設(shè)計.docx

目錄引言01.系統(tǒng)方案設(shè)計31.1 設(shè)計要求31.2 總體設(shè)計方案31.2.1控制方式方案31.2.2 系統(tǒng)組成31.2.3電梯上下層運行流程圖32. 模塊設(shè)計32.1 外部數(shù)據(jù)采集模塊設(shè)計42.2 信號存儲模塊42.3 基于FPGA的中央處理模塊42.4 信號的輸出、顯示模塊43.程序設(shè)計與仿真53.1程序設(shè)計53.2 程序仿真54.運行結(jié)果與分析105.結(jié)束語136.參考文獻14引言隨高層樓宇的增加,電梯越來越多的走進了人們的生活,對人們的生活的影響越來越大。為了讓電梯更好的服務(wù)人們,各種電梯新技術(shù)不斷地發(fā)展起來。隨著人們生活水平的不斷提高,經(jīng)濟的快速發(fā)展和生產(chǎn)生活的需要,城市高層建筑如雨后春筍拔地而起。與此相應(yīng),作為一種可以垂直升降運輸?shù)墓ぞ咭浑娞菀驳玫窖该偷陌l(fā)展?,F(xiàn)在,電梯已完全融入我們的生活、工作及學(xué)習(xí)中,人們越來越離不開它。因此,它的安全可靠性、迅速準確性、舒適性,對人們來說都是非常重要的。為了確保電梯正常運行、安全使用,一般電梯都有專業(yè)的維修管理人員。他們必須對電梯原理、性能、特點、控制、運行要全面認識和掌握,才能做到對電梯的正確使用、管理及維護。根據(jù)我國有關(guān)部門的規(guī)定,電梯作業(yè)屬于特種作業(yè),其作業(yè)人員必須經(jīng)過專門培訓(xùn),并經(jīng)理論考試和實踐考核合格后,發(fā)給特種作業(yè)操作證方可上崗操作。同時,對電梯操作人員定期考核,讓他們定期參加安全技術(shù)學(xué)習(xí),扎扎實實地做好電梯維護和保養(yǎng)工作,才能使人們平安長久的使用電梯。電梯控制系統(tǒng)是一個相當復(fù)雜的邏輯控制系統(tǒng)系統(tǒng)要同時對幾百個信號進行接收、處理。由于用戶對電梯功能的要求不斷提高其相應(yīng)控制方式也在不斷發(fā)生變化。隨著EDA技術(shù)的快速發(fā)展基于FPGA的微機化控制已廣泛應(yīng)用于電梯電路設(shè)計與控制的各個方面。在經(jīng)濟不斷發(fā)展,科學(xué)技術(shù)日新月異的今天,樓的高度已和經(jīng)濟發(fā)展同樣的速度成長起來。作為建筑的中樞神經(jīng),電梯起著不可或缺的作用,電梯作為建筑物內(nèi)的主要運輸工具,像其他的交通工具一樣,已經(jīng)成為我們?nèi)粘I畹囊粋€不可缺少的組成部分。一個國家的電梯需求總量,主要受其經(jīng)濟增長速度、城市化水平、人口密度及數(shù)量、國家產(chǎn)業(yè)結(jié)構(gòu)等綜合因素的影響。在全球經(jīng)濟持續(xù)低迷的情況下,我國國民經(jīng)濟仍然以較高的速度持續(xù)增長,城市化水平不斷提高。這從客觀上導(dǎo)致了我國電梯行業(yè)的空前繁榮景象,我國已經(jīng)成為全球最大的電梯市場。上世紀80年代以來,隨著經(jīng)濟建設(shè)的持續(xù)高速發(fā)展,我國電梯需求量越來越大??傏厔菔巧仙?,電梯行業(yè)進入了“第三次浪潮”。如此龐大的市場需求為我國電梯行業(yè)的發(fā)展創(chuàng)造了廣闊的舞臺!1.系統(tǒng)方案設(shè)計1.1設(shè)計要求(1) 每層電梯入口處設(shè)有上下請求開關(guān),電梯內(nèi)設(shè)有顧客到達層次的停站請求開關(guān)。(2) 設(shè)有電梯入口處位置指示裝置及電梯運行模式(上升或下降)指示裝置。(3) 電梯到達有停站請求的樓層,開門指示燈亮,開門后,經(jīng)一段時延后,電梯門關(guān)閉電梯繼續(xù)進行,直至執(zhí)行完最后一個請求信號后停留在當前層。(4) 電梯運行規(guī)則:當處于上升模式時,只響應(yīng)比所在位置高的請求信號,由下而上逐個執(zhí)行,直到最后一個上樓請求執(zhí)行完畢;高層有下樓請求,則直接升到請求層,進入下降模式。當電梯處于下降模式時則與上升模式相反。1.2 總體設(shè)計方案1.2.1 控制方式方案內(nèi)部請求優(yōu)先控制方式類似于出租車的工作方式,先將車上的人送至目的地,再去載客。作為通用型電梯應(yīng)該服務(wù)于大多數(shù)人,必須考慮電梯內(nèi)、外的響應(yīng)率。在內(nèi)部請求優(yōu)先控制的方式中,當電梯外部人的請求和電梯內(nèi)部人的請求沖突時,外部人的信號可能被長時間忽略,因而他不能作為通用型電梯的設(shè)計方案。2 單向?qū)訉油?刂品绞椒桨竼蜗驅(qū)訉油?刂品绞降韧诨疖嚨倪\行方式,遇站即停止、開門。這種方案的優(yōu)點在于面面俱到,可以保證所有人的請求都能得到響應(yīng)。然而這樣對電梯的效率產(chǎn)生消極影響:不必要的等待消耗了大量時間,而電梯的運作與用戶的請求無關(guān),當無請求時電梯也照常跑空車,就浪費了大量的電能。而對用戶而言,此種控制方式的請求響應(yīng)時間也不是很快。因而不是理想的方案。3 方向優(yōu)先控制方式方案方向優(yōu)先控制方案是指電梯運行到某一樓層時先考慮這一樓層是否有請求:有,則停止;無,則繼續(xù)前進。停下后在啟動時的步驟:1 考慮前方上下方是否有請求:有,則停止;無,則繼續(xù)前進。2 檢驗后方是否有請求,有請求則轉(zhuǎn)向運行,無請求則繼續(xù)維持停止狀態(tài)。這種運作方式下,電梯對用戶的請求響應(yīng)率為100%,且響應(yīng)時間較短。如果每層樓都有請求,則這種控制方式的效率和上面的單層控制方式的效率一樣。然而,當不是每層樓都有請求時,方向優(yōu)先控制方式的效率遠遠大于單向?qū)訉油5瓤刂品绞降男?。而且,方向?yōu)先控制方式下,電梯在維持停止狀態(tài)的時候可以進入省電模式,又能節(jié)省大量電能。本設(shè)計選擇方向優(yōu)先控制方式。1.2.2 系統(tǒng)組成電梯方向優(yōu)先控制系統(tǒng)方框圖如圖所示。圖一 電梯方向優(yōu)先控制方式控制系統(tǒng)方框圖1.2.3電梯上下層運行流程圖圖二 電梯上升運動流程電梯下降運動流程圖跟上圖相反,上升是從一樓開始上升二樓到六樓的任一樓層,而電梯下降卻是從六樓開始,下降到五樓到一樓的任一樓層。電梯上升,下降原理相同,步驟上也許有少許不同。2 模塊設(shè)計2.1 外部數(shù)據(jù)采集模塊設(shè)計對于外部信號采集,處理要求電梯控制器:1 外部請求信號的實時,準確的采集;2 準確,實時的捕捉樓層到達信號;3 有效的防止樓層到達信號,外部請求信號的誤判。控制器采用FPGA作為系統(tǒng)控制的核心,系統(tǒng)頻率的時鐘頻率是32.0000Hz,完全可以滿足實時采集數(shù)據(jù)的要求。由于電路中毛刺現(xiàn)象的存在,信號的純凈度降低,單個的毛刺往往被誤作為系統(tǒng)轉(zhuǎn)換的觸發(fā)信號,嚴重影響電梯的正常工作??梢圆捎枚啻螜z測的方法解決這個問題,對一個信號進行多次采樣以保證信號的可信度。外部請求信號的輸入形式為按鍵輸入,到達樓層信號來自光敏傳感器,關(guān)門中斷信號及超載信號則產(chǎn)生于壓力傳感器。鍵盤、光敏外部輸入接口電路未設(shè)計。2.2 信號存儲模塊電梯控制器的請求輸入信號有18個(電梯外有六個上升請求,六個下降請求的用戶輸入端口,電梯內(nèi)有六個請求用戶輸入端口),由于對系統(tǒng)內(nèi),外請求沒有設(shè)置優(yōu)先級,各樓層的內(nèi),外請求信號被采集后可先進行運算,在存到存儲器中。電梯運行過程中,由于用戶的請求信號的輸入是離散的,而且系統(tǒng)對請求的響應(yīng)也是離散的,因此請求信號的存儲要求新的請求信號不能覆蓋原來的請求信號,只有響應(yīng)動作完成后才能清除存儲器內(nèi)對應(yīng)的請求信號位。對應(yīng)某一樓層的請求信號的存儲,清除電路如圖所示。圖三 請求信號操作電路圖2.3 基于FPGA的中央處理模塊中央數(shù)據(jù)處理模塊是系統(tǒng)的核心,通過對存儲的數(shù)據(jù)(含請求、到達樓層等信號)進行比較,判斷以驅(qū)動系統(tǒng)狀態(tài)的流轉(zhuǎn)。電梯的工作過程中共有等待、上升、下降、開門、關(guān)門、停止、休眠、超載報警以及故障報警狀態(tài)。一般情況下,電梯工作起始點是第一層,起始狀態(tài)是等待狀態(tài),啟動條件是收到上升請求。系統(tǒng)狀態(tài)流程圖如圖所示。注意:圖中,超載狀態(tài)時電梯關(guān)門動作取消,同時發(fā)出警報,直到警報被消除;故障時電梯不執(zhí)行關(guān)門動作,同時發(fā)出警報,直到警報被清除(看門狗信號有效條件是一層樓連續(xù)發(fā)生關(guān)門中斷情況超過三次)。本系統(tǒng)由請求信號啟動,運行中每檢測到一個到達樓層信號,就會將存儲器的請求信號和樓層的狀態(tài)信號進行比較,在參照原方向信號來決定是否停止、轉(zhuǎn)向等動作。圖四 系統(tǒng)狀態(tài)流程圖2.4 信號的輸出、顯示模塊本系統(tǒng)的輸出信號有兩種,一種是電機的升降控制信號(兩位)和開門、關(guān)門控制信號;另一種是面向用戶的提示信號(含樓層的顯示,方向的顯示,已經(jīng)接受的請求顯示等)。電機的控制信號一般需要兩位,本電機中電機工作狀態(tài)有三種工作狀態(tài):正轉(zhuǎn),反轉(zhuǎn)和停止轉(zhuǎn)動狀態(tài)。兩位控制信號作為一個三路開路開關(guān)的選通信號,此三路開關(guān)選用模擬電子開關(guān)。系統(tǒng)的顯示輸出包括數(shù)碼管的樓層顯示、數(shù)碼管的請求信號顯示和表征運動的方向的箭頭形指示燈的開關(guān)信號。本系統(tǒng)具有請求信號顯示的功能,結(jié)合方向顯示,可以減少用戶對同一請求的輸入次數(shù),這樣就延長了電梯按鍵的使用的壽命。假如電梯處于向上的運動狀態(tài),初始位置是底層,初始請求是6樓,2樓時進入一個人,如果他的目的是6樓,就可以不用再按鍵。同時,電梯外部的人也可以根據(jù)請求信號顯示(上升請求、下降請求、無請求),就可以避免沒必要的重復(fù)請求信號輸入。電梯使用時,系統(tǒng)結(jié)合相應(yīng)的電梯使用規(guī)范完全可以滿足人們的需要,而且效率比較高。3.程序設(shè)計與仿真3.1程序設(shè)計library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity dianti isport(clk:in std_logic; -時鐘信號 full,deng,quick,clr:in std_logic; -超載,關(guān)門中斷,提前關(guān)門,清除報警信號 c_u1,c_u2,c_u3,c_u4,c_u5:in std_logic; -電梯外的人上升請求信號 c_d2,c_d3,c_d4,c_d5,c_d6:in std_logic; -電梯外的人下降請求信號 d1,d2,d3,d4,d5,d6:in std_logic; -電梯內(nèi)的人請求信號 g1,g2,g3,g4,g5,g6:in std_logic; -到達樓層的信號 door:out std_logic_vector(1 downto 0); -電梯門控制信號 led:out std_logic_vector(6 downto 0); -電梯所在樓層顯示 led_c_u:out std_logic_vector(5 downto 0); -電梯外的人上升請求信號顯示 led_c_d:out std_logic_vector(5 downto 0); -電梯外的人下降請求信號顯示 led_d:out std_logic_vector(5 downto 0); -電梯內(nèi)請求信號顯示 wahaha:out std_logic; -看門狗報警系統(tǒng) ud,alarm:out std_logic; -電梯運動方向顯示,超載報警信號 up,down:out std_logic); -電梯控制信號和電梯運動end dianti;architecture behav of dianti issignal d11,d22,d33,d44,d55,d66:std_logic; -電梯內(nèi)請求信號寄存信號signal c_u11,c_u22,c_u33,c_u44,c_u55:std_logic;-電梯外上升請求寄存信號signal c_d22,c_d33,c_d44,c_d55,c_d66:std_logic;-電梯外下降請求寄存信號signal q:integer range 0 to 1; -分頻信號signal q1:integer range 0 to 6; -關(guān)門延時計數(shù)器signal q2:integer range 0 to 9; -動方向寄存器signal dd,cc_u,cc_d,dd_cc:std_logic_vector(5 downto 0);signal opendoor:std_logic;signal updown:std_logic;signal en_up,en_dw:std_logic; -預(yù)備上升,下降使能信號begincom:process(clk)begin if clkevent and clk=1 then if clr=1 then q1<=0;q2<=0;wahaha<=0; -清除報警 elsif full=1 then alarm<=1;q1<=0; -超載報警 if q1>=3 then door<="10" else door<="00"end if;elsif q=1 then q<=0;alarm<=0;if q2=3 then wahaha<=1; -故障報警elseif opendoor=1 then door<="10"q1<=0;q2<=0;up<=0;down<=0;-開門操作elsif en_up=1 then -上升預(yù)操作 if deng=1 then door<="10"q1<=0;q2<=q2+1; -關(guān)門中斷elsif quick=1 then q1<=3; -提前關(guān)門elsif q1=6 then door<="00"updown<=1;up<=1;-電梯進入上升狀態(tài)elsif q1>=3 then door<="01"q1<=q1+1; -電梯進入關(guān)門狀態(tài)else q1<=q1+1;door<="00" -電梯進入等待狀態(tài)end if;elsif en_dw=1 then -下降預(yù)操作if deng=1 then door<="10"q1<=0;q2<=q2+1;elsif quick=1 then q1<=3;elsif q1=6 then door<="00"updown<=0;down<=1;elsif q1>=3 then door<="01"q1<=q1+1;else q1<=q1+1;door<="00"end if;end if;if g1=1 then led<="1001111" -電梯到達一樓,顯示一樓if d11=1 or c_u11<=1 then d11<=0;c_u11<=0;opendoor<=1;-當前層請求,電梯進入開門狀態(tài)elsif dd_cc>"000001" then en_up<=1;opendoor<=0;-有上升請求,電梯進入預(yù)備上升狀態(tài)elsif dd_cc="000000" then opendoor<=0; -無請求,一樓待機end if;elsif g2=1 then led<="0010010" -電梯到達二樓,顯示2樓if updown=1 then -電梯前一狀態(tài)上升if d22=1 or c_u22=1then d22<=0;c_u22<=0;opendoor<=1;-當前層有請求,開門狀態(tài)elsif dd_cc>"000011" then en_up<=1;opendoor<=0;-上升請求,預(yù)備上升elsif dd_cc<"000010" then en_dw<=1;opendoor<=0;-有下降請求,預(yù)備下降end if;elsif d22=1 or c_d22=1 then d22<=0;c_d22<=0;opendoor<=1;-電梯前一運動狀態(tài)下降elsif dd_cc<"000010" then en_dw<=1;opendoor<=0;-下降請求,預(yù)備下降elsif dd_cc>"000011" then en_up<=1;opendoor<=0;-上升請求,預(yù)備上升end if;elsif g3=1 then led<="0000110"if updown=1 thenif d33=1 or c_u33=1then d33<=0;c_u33<=0;opendoor<=1;elsif dd_cc>"000111" then en_up<=1;opendoor<=0;elsif dd_cc<"000100" then en_dw<=1;opendoor<=0;end if;elsif d33=1 or c_d33=1 then d33<=0;c_d33<=0;opendoor<=1;elsif dd_cc<"000100" then en_dw<=1;opendoor<=0;elsif dd_cc>"000111" then en_up<=1;opendoor<=0;end if;elsif g4=1 then led<="1001100"if updown<=1 thenif d44=1 or c_u44=1then d44<=0;c_u44<=0;opendoor<=1;elsif dd_cc>"001111" then en_up<=1;opendoor<=0;elsif dd_cc<"001000" then en_dw<=1;opendoor<=0;end if;elsif d44=1 or c_d44=1 then d44<=0;c_d44<=0;opendoor<=1;elsif dd_cc<"001000" then en_dw<=1;opendoor<=0;elsif dd_cc>"001111" then en_up<=1;opendoor<=0;end if;elsif g5=1 then led<="0100100"if updown<=1 thenif d55=1 or c_u55=1then d55<=0;c_u55<=0;opendoor<=1;elsif dd_cc>"011111" then en_up<=1;opendoor<=0;elsif dd_cc<"010000" then en_dw<=1;opendoor<=0;end if;elsif d55=1 or c_d55=1 then d55<=0;c_d55<=0;opendoor<=1;elsif dd_cc<"010000" then en_dw<=1;opendoor<=0;elsif dd_cc>"011111" then en_up<=1;opendoor<=0;end if;elsif g6=1 then led<="0100000"if d66=1 or c_d66=1 then d66<=0;c_d66<=0;opendoor<=1;elsif dd_cc<"100000" then en_dw<=1;opendoor<=0;end if;else en_up<=0; en_dw<=0; -電梯進入上升或下降狀態(tài)end if;end if;else q<=1;alarm<=0; -清除報警信號if d1=1 then d11<=d1; -對電梯內(nèi)的人請求信號檢測和寄存elsif d2=1 then d22<=d2;elsif d3=1 then d33<=d3;elsif d4=1 then d44<=d4;elsif d5=1 then d55<=d5;elsif d6=1 then d66<=d6;end if;if c_u1=1 then c_u11<=c_u1; -對電梯外的人上升請求檢測寄存elsif c_u2=1 then c_u22<=c_u2;elsif c_u3=1 then c_u33<=c_u3;elsif c_u4=1 then c_u44<=c_u4;elsif c_u5=1 then c_u55<=c_u5;end if;if c_d2=1 then c_d22<=c_d2; -對電梯外的人下降信號檢測寄存elsif c_d3=1 then c_d33<=c_d3;elsif c_d4=1 then c_d44<=c_d4;elsif c_d5=1 then c_d55<=c_d5;elsif c_d6=1 then c_d66<=c_d6;end if;dd<=d66&d55&d44&d33&d22&d11; -電梯內(nèi)人請求信號并置cc_u<=0&c_u55&c_u44&c_u33&c_u22&c_u11; -電梯外上升信號并置cc_d<=c_d66&c_d55&c_d44&c_d33&c_d22&0; -電梯外下降信號并置dd_cc<=dd or cc_u or cc_d; -內(nèi)外信號綜合end if;ud<=updown; -電梯運動狀態(tài)顯示led_d<=dd;led_c_u<=cc_u; -電梯外上升信號顯示led_c_d<=cc_d; -電梯外下降信號顯示end if;end process;end behav;3.2 程序仿真 圖五 仿真結(jié)果圖(1) 圖六 仿真結(jié)果圖(2)4.運行結(jié)果與分析通過觀察仿真圖(1)和仿真圖(2),當full(超載)為高電平時,alarm(報警信號)就會跟著變?yōu)楦唠娖?,這是報警信號就會報警。這是clr為高點平后,就會清除警報。電梯開始工作時,電梯在一樓,而在一樓有人要乘電梯上樓,cu_1變?yōu)楦唠娖剑乳T開后,進入電梯,要去六樓,按下六樓后,等待門關(guān)好后,電梯上升,最后停在了六樓,樓層顯示6;五樓有下降請求,到三樓,重復(fù)上述過程,電梯顯示3;一樓上升請求,到五樓,電梯最后顯示5;五樓有下降請求,到一樓,電梯最后顯示一樓;一樓上升請求,到三樓,電梯最后顯示3;三樓上升請求,到六樓,這是deng(延遲關(guān)門)變?yōu)楦唠娖剑瑫l(fā)現(xiàn)door(電梯門)被延遲關(guān)門,延遲結(jié)束后,電梯最后顯示樓層6。如果有人在電梯內(nèi)部請求,在電梯在三樓是,內(nèi)部有上升請求,到六樓,電梯最后到達六樓,顯示樓層6.當四樓,五樓同時有下降請求,都到達一樓,這是電梯先到五樓,再到一樓,最后到達一樓,樓層顯示1.當五樓,六樓同時請求要下降到三樓,電梯先去六樓,再去五樓,最后到達三樓,樓層顯示3。這是五樓有下降請求,要下降到一樓,而這時full(超載)為高電平時,alarm(報警信號)就會跟著變?yōu)楦唠娖?,這是報警信號就會報警。這種情況下電梯不會運動,電梯門保持打開。樓層仍繼續(xù)顯示5。這是只要clr變?yōu)楦唠娖?,清除報警,但報警信號alarm仍為高電平,而電梯也會繼續(xù)運動,不過仍處于超載狀態(tài),比較危險。5.結(jié)束語本電梯系統(tǒng)實現(xiàn)了如下功能:1.各層電梯內(nèi)部信號:各樓層請求按鍵。外部信號:上升下降請求按鍵,所在樓層顯示,電梯運行狀態(tài)顯示。2.能夠存儲請求信號,電梯上升(下降)過程中,根據(jù)電梯的運行狀態(tài),首先按方向優(yōu)先、循環(huán)次序響應(yīng)各請求。3.電梯每秒升(降)一層樓。電梯到達有停站請求的樓層,經(jīng)過1秒電梯門打開,開門指示燈亮,開門4秒后,電梯門關(guān)閉(開門指示燈滅),電梯繼續(xù)進行,直至執(zhí)行完最后一個請求信號后停留在當前層。電梯初始狀態(tài)為一層關(guān)門狀態(tài)。綜上所述,完成了課題的所有要求,該設(shè)計采用模塊化編程,升級可實現(xiàn)任意多層電梯系統(tǒng),具有很強的適應(yīng)性和實用性。在本設(shè)計中,因為考慮了擴展性,所以在信號定義的時候就使用了二進制的向量,而不是整數(shù)。在設(shè)計方法上也做了特殊的設(shè)計,所以使得擴展性較好。如果要實現(xiàn)n層電梯的控制,首先在端口的地方就要加入所有的按鍵,而指示燈只要把向量中的6改成n就可以了。同時需要在按鍵控制進程里加入其他按鍵觸發(fā)指示燈的語句。在電梯的升降狀態(tài)將6改成n,在電梯的開門狀態(tài)中將2改成n一1,在關(guān)門狀態(tài),將position=6改成position=n,關(guān)鍵是修改position=6的部分,如果按照每層羅列,將十分煩瑣,所以得尋求各層判斷條件的共性,解決方法之一就是,新建一個全局向abc為std_logic_vector(ndownto1),abc的賦值為abc<=(pos=>1,other=>0)。在電梯的上升模式時,如果有本層請求信號,則電梯開門;如果沒有任何請求信號,則電梯停在當前層;否則用abc和stoplight與fuplight向量比較,如果stoplight或fupligh比abc大,則說明更高層還有上升或者停戰(zhàn)請求,電梯需繼續(xù)上升;如果abc更大,則用abc與fdnlight作比較,如果fdnlight更大,則說明更高層有下降請求,電梯繼續(xù)上升,否則電梯下降。電梯處在下降模式時同原理分析判定下一狀態(tài)。這樣可以大大簡化程序,但要注意的是abc向量作為判斷依據(jù),需實時更新,可以單獨寫一個進程,觸發(fā)時鐘周期要設(shè)置得很小。在電梯的上升狀態(tài)和開門狀態(tài)中,把6改為n,在信號燈控制進程中加入其它按鍵觸發(fā)指示燈的語句。6.參考文獻1潘松,黃繼業(yè). EDA技術(shù)實用教程. 科學(xué)出版社,20062付家才. EDA原理與應(yīng)用M. 北京:化學(xué)工業(yè)出版社,2001:15-233王振紅. VHDL數(shù)字電路設(shè)計應(yīng)用實踐教程M. 北京:機械工業(yè)出社,2003:2-144黃智偉. FPGA系統(tǒng)設(shè)計與實踐M. 北京:電子工業(yè)出版社,2005:12-245梁延?xùn)|. 電梯控制技術(shù)M. 北京:中國建筑工業(yè)出版社,1997:23-4713

注意事項

本文(自動升降電梯控制器設(shè)計.docx)為本站會員(wux****ua)主動上傳,裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng)(點擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因為網(wǎng)速或其他原因下載失敗請重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!