歡迎來到裝配圖網! | 幫助中心 裝配圖網zhuangpeitu.com!
裝配圖網
ImageVerifierCode 換一換
首頁 裝配圖網 > 資源分類 > DOC文檔下載  

數字電路課程設計-數字鐘.doc

  • 資源ID:6704542       資源大?。?span id="nlcfpet" class="font-tahoma">302.50KB        全文頁數:22頁
  • 資源格式: DOC        下載積分:9.9積分
快捷下載 游客一鍵下載
會員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要9.9積分
郵箱/手機:
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機號,方便查詢和重復下載(系統(tǒng)自動生成)
支付方式: 支付寶    微信支付   
驗證碼:   換一換

 
賬號:
密碼:
驗證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會被瀏覽器默認打開,此種情況可以點擊瀏覽器菜單,保存網頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預覽文檔經過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標題沒有明確說明有答案則都視為沒有答案,請知曉。

數字電路課程設計-數字鐘.doc

四川工業(yè)科技學院電子信息工程學院課程設計專業(yè)名稱: 電子信息工程 課程名稱: 數字電路課程設計課題名稱: 自動節(jié)能燈設計設計人員: 蔡志荷指導教師: 廖俊東2018年1月10日 模擬電子技術 課程設計任務書一、課題名稱:數字鐘的設計二、技術指標:(1)掌握數字鐘的設計、組裝和調試方法。(2)熟練使用proteus仿真軟件。(3)熟悉各元件的作用以及注意事項。三、要求:(1)設畫出總體設計框圖,以說明數字鐘由哪些相對獨立的功能模塊組成,標出各個模塊之間互相聯系。(2)設計各個功能模塊的電路圖,加上原理說明。(3)選擇合適的元器件,設計、選擇合適的輸入信號和輸出方式,確保電路正確性。指導教師:廖俊東學 生:蔡志荷電子信息工程學院2018 年 1 月 10 日課程設計報告書評閱頁課題名稱:數字鐘的設計班 級:15級電子信息工程4班姓 名:蔡志荷 2018 年 1 月 10 日指導教師評語:考核成績: 指導教師簽名:20 年 月 目 錄摘 要1第1章 設計任務與要求21.1 設計指標數字鐘簡介21.2 具體要求21.3 設計要求3第2章 元件清單及主要器件介紹42.1 元件清單42.2 主要器件介紹42.2.1 74LS90計數42.2.2 74LS4752.2.3 七段數碼顯示器7第3章 設計原理與電路83.1 計時電路83.1.1 計秒、計分電路83.1.2 計時電路103.2 校時電路113.2.1 報時鎖存信號133.2.2 報時13第4章 仿真結果及誤差分析154.1 實驗結果154.2 實時分析15第5章 設計總結16參考文獻17摘 要本次課程設計的主題是數字電子鐘。干電路系統(tǒng)由秒信號發(fā)生器、“時、分、秒”計數器、顯示器、整點報時電路組成。秒信號產生器是整個系統(tǒng)的時基信號,它直接決定計時系統(tǒng)的精度,這里用多諧振蕩器加分頻器來實現。將標準秒信號送入“秒計數器”,“秒計數器”采用60進制計數器,每累計60秒發(fā)出一個“分脈沖”信號,該信號將作為“分計數器”的時鐘脈沖?!胺钟嫈灯鳌币膊捎?0進制計數器,每累計60分鐘,發(fā)出一個“時脈沖”信號,該信號將被送到“時計數器”。“時計數器”采用24進制計時器,可實現對一天24小時的累計。譯碼顯示電路將“時”、“分”、“秒”計數器的輸出狀態(tài)送到七段顯示譯碼器譯碼,通過七位LED七段顯示器顯示出來。整點報時電路時根據計時系統(tǒng)的輸出狀態(tài)產生一脈沖信號,然后去觸發(fā)蜂鳴器實現報時。數字電子時鐘優(yōu)先編碼電路、譯碼電路將輸入的信號在顯示器上輸出;用控制電路和調節(jié)開關對LED顯示的時間進行調節(jié),以上兩部分組成主體電路。通過譯碼電路將秒脈沖產生的信號在報警電路上實現整點報時功能等,構成擴展電路。本次設計由震蕩器、秒計數器、分計數器、時計數器、BCD-七段顯示譯碼/驅動器、LED七段顯示數碼管設計了數字時鐘電路,可以實現:計時、顯示,時、分校時,整點報時等功能。關鍵詞:數字時鐘,振蕩器,計數器,報時電路第1章 設計任務與要求1.1 設計指標數字鐘簡介數字鐘電路是一款經典的數字邏輯電路,它可以是一個簡單的秒鐘,也可以只計分和時,還可以計秒、分、時,分別為12進制或24進制,外加校時和整點報時電路。 數字鐘已成為人們日常生活中必不可少的生活日用品。廣泛用于個人家庭以及車站、碼頭、劇場、辦公室等公共場所,給人們的生活、學習、工作、娛樂帶來極大的方便。由于數字集成電路技術的發(fā)展和采用了先進的石英技術,使數字鐘具有走時準確、性能穩(wěn)定、集成電路有體積小、功耗小、功能多、攜帶方便等優(yōu)點。 因此本次設計就用數字集成電路和一些簡單的邏輯門電路來設計一個數字式電子鐘,使其完成時間及星期的顯示功能。多功能數字鐘采用數字電路實現對“時”、“分”、“秒”數字顯示的計時裝置。具有時間顯示、走時準確、顯示直觀、精度、穩(wěn)定等優(yōu)點,電路裝置十分小巧,安裝使用也方便而受廣大消費的喜愛。1.2 具體要求1、掌握組合邏輯電路、時序邏輯電路及數字邏輯電路系統(tǒng)的設計、安裝、測試方法;2、進一步鞏固所學的理論知識,提高運用所學知識分析和解決實際問題的能力;3、提高電路布局,布線及檢查和排除故障的能力。1.3 設計要求1、設計一個有“時”、“分”、“秒”(23小時59分59秒)顯示,且有校時功能的電子鐘。2、用中小規(guī)模集成電路組成電子鐘,并在實驗箱上進行組裝、調試3、畫出框圖和邏輯電路圖、寫出設計、實驗總結報告。4、整點報時。在59分51秒時輸出信號,音頻持續(xù)10秒,在結束時刻為整點。第2章 元件清單及主要器件介紹2.1 元件清單1、74LS90(6個)2、74LS47(6個)3、74LS00(6個)4、74LS20(6個)5、74LS04(6個)6、共陽七段數碼顯示器(6個)7、蜂鳴器(1個)8、快關若干,電阻若干2.2 主要器件介紹2.2.1 74LS90計數本題目核心器件是計數器,常用的有同步十進制計數器74HC160以及異步二、五、十進制計數器74LS90.這里選用的是74LS90芯片。74LS90的引腳圖如圖2-1表示。圖2-174LS90內部是由兩部分電路組成的。一部分是由時鐘CKA與一位觸發(fā)器Q0組成的二進制計數器,可記一位二進制數;另外一部分是由時鐘CKB與三個觸發(fā)器Q1、Q2、Q3組成的五進制異步計數器,可記五個數000111.如果把Q0和CKB連接起來,CKB從Q0取信號,外部時鐘信號接到CKA上,那么由時鐘CKA和Q0、Q1、Q2、Q3組成十進制計數器。R0(1)和R0(2)是異步清零端,兩個同時為高電平有效;R9(1)和R9(2)是置9端,兩個同時為高電平時,Q3Q2Q1Q0=1001,;正常計數時,必須保證R0(1)和R0(2)中至少一個接低電平,R9(1)和R9(2)中至少一個接低電平。74LS90的功能表如表2-1所示。表2-12.2.2 74LS4774LS47的引腳圖如圖2-3表示。圖2-3譯碼為編碼的逆過程。它將編碼時賦予代碼的含義“翻譯”過來。實現譯碼的邏輯電路成為譯碼器。譯碼器輸出與輸入代碼有唯一的對應關系。74LS47是輸出低電平有效的七段字形譯碼器,它在這里與數碼管配合使用。表2-2列出了74LS47的真值表,表示出了它與數碼管之間的關系。表2-2H=高電平,L=低電平,=不定74LS47譯碼器原理如圖2-4.圖2-474LS47是BCD-7段數碼管譯碼器/驅動器, 74LS47的功能用于將BCD碼轉化成數碼塊中的數字,通過它解碼, 可以直接把數字轉換為數碼管的顯示數字, 從而簡化了程序,節(jié)約了 單片機的IO開銷。因此是一個非常好的芯片!但是由于目前從節(jié)約成本的角度考慮, 此類芯片已較少用, 大部份情況下都是用動態(tài)掃描數碼管的形式來實現數碼管顯示。2.2.3 七段數碼顯示器共陽極七段數碼管引腳圖如圖2-5表示。圖2-5LED數碼管中的發(fā)光二極管共有兩種連接方法:1、共陰極接法:把發(fā)光二極管的陰極連在一起構成公共陰極。使用時公共陰極接地,這樣陽極端輸入高電平的段發(fā)光二極管就導通點亮,而輸入低電平的則不點亮。實驗中使用的LED顯示器為共陰極接法。2、共陽極接法:把發(fā)光二極管的陽極連在一起構成公共陽極。使用時公共陽極接5V。這樣陰極端輸入低電平的段發(fā)光二極管就導通點亮,而輸入高電平的則不點亮,而輸入高電平的則不點亮。注:課設中使用的是共陽極數碼管。第3章 設計原理與電路3.1 計時電路計時電路共分三部分:計秒、計分、計時。其中計秒和記分都是60進制,而計時為24進制。難點在于三者之間進位信號的實現。3.1.1 計秒、計分電路1、個位向十位的進位實現。用兩片74LS90異步計數器接成一個一步的60進制計數器。所謂異步60進制計數器,即兩片74LS90的時鐘不一致。各位時鐘為1Hz方波來計秒,十位計數器的時鐘信號需要從個位計數器來提供。進位信號的要求是在十個秒脈沖中只產生一個下降沿,且與第十秒的下降沿對齊。只能從個位計數器的輸出端來提供,不可能從其輸入端來找。而計數器的輸出端只有Q0、Q1、Q2、Q3四個信號,要么是其中一個,要么是它們之間的邏輯運算結果。把個位的四個輸出波形畫出來,如圖3-1所示。圖3-1由于74LS90是在時鐘的下降沿到來時計數,所以Q3正好符合要求,在10秒之內只給出一個下降沿,且與第19秒的下降沿對齊。Q2雖然也只產生一個下降沿,但產生的時刻不對。這樣,個位和十位之間的進位信號就找到了,把個位的Q3(11端)連接到十位的CKA(14端)上。2、六十進制的實現當幾秒到59時,希望回00.此時個位正好計滿十個數,不用清零即可自動從9回0;十位應接成六進制,即從05循環(huán)計數。用異步清零法,當6出現的瞬間,即Q3Q2Q1Q0=0110時,同時給R0(1)和R0(2)高電平,使這個狀態(tài)變成0000,由于6出現時間很短,被0取代。接線如圖3-2所示。圖3-2當十位計數到6時,輸出0110,其中正好有兩個高電平,把這兩個高電平Q2和Q1分別接到74LS90的R0(1)和R0(2)端,即可實現清零。一旦清零,Q2和Q1都為0,不能再繼續(xù)清零,恢復正常計數,直到下次再同時為1。計秒電路的仿真圖如圖3-2所示,計分電路和計秒電路是完全一致的,只是周期為1S的時鐘信號改成了周期為60秒即1分鐘的時鐘信號。3、秒向分的進位信號的實現積分電路的關鍵問題是找到秒向分的進位信號。當秒電路計到59秒時,產生一個高電平,在計到60秒時變成低電平,來一個下降沿送給計分電路做時鐘。計秒電路在計到59時的十位和個位的狀態(tài)分別為0101和1001,把這四個1與起來即可,即十位的Q2和Q0,個位的Q3和Q0,與的結果作為進位信號。使用74LS20四入與非門串反相器構成與門,如圖3-3所示。圖3-3計分電路與計秒電路一樣,只是四輸入與門產生的信號應標識為59分。3.1.2 計時電路用兩片74LS90實現二十四進制計數器,首先把兩片74LS90都接成十進制,并且兩片之間連接成具有十的進位關系,即接成一百進制計數器,然后在計到24時,十位和個位同時清理。計到24時,十位的Q1=1,個位的Q2=1,應分別把這兩個信號連接到雙方芯片的R0(1)和R0(2)端。如個位的Q2接到兩個74LS90的R0(1)清零端,十位的Q1接到兩個74LS90的R0(2)清零端。計時電路的個位時鐘信號來自秒、分電路產生59分59秒兩個信號相與的結果,如圖3-4所示。圖3-4計分和計時電路可以先單獨用秒脈沖調試,以節(jié)省時間。聯調時,可把秒脈沖的頻率加大。圖3-5是一個鏈接好的簡單的沒有校時和報時的數字時鐘電路。圖3-5圖中為了把數顯集中到一塊,可以直接把時、分、秒的數碼管拖動到一起。但為了仿真時使器件管件的邏輯狀態(tài)顯示不影響數顯的效果,可以從主菜單中把邏輯顯示去掉即可。3.2 校時電路接下來把校時電路加上,校時電路主要完成校分和校時。選擇較分時,撥動一次開關,分自動加一;選擇校時時,撥動一次開關,小時自動加一。校時校分應準確無誤,能實現理想的時間校對。校時校分時應切斷秒、分、時計數電路之間的進位連線。如圖3-6,紅色線框內是校時電路,由去抖動電路和選擇電路組成。圖3-6其中,計到59分的信號已有,如圖3-6中所示。只需把它和計秒電路的十位中的Q2Q0相與作為開始報時的一個條件即可。見圖3-7,U16:A和U10:D組成的與門輸出即為報時開始信號。圖3-73.2.1 報時鎖存信號用秒個位的計數器輸出進行四高一低的報時鎖存信號?,F在來分析一下5059秒之間秒個位的狀態(tài)。秒個位:Q3 Q2 Q1Q0 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1結合題目要求,通過這些狀態(tài)的觀察發(fā)現,秒個位的Q3和Q0邏輯與后,正好在秒個位計到1、3、5、7時產生高電平,0、2、4、6時產生低電平,可作低四聲報時的鎖存信號;秒個位的Q3和Q0邏輯與后,正好在秒個位為9時產生高電平,可做高音的報時鎖存信號;這樣就產生了兩個報時鎖存信號。3.2.2 報時把上述分析所得到的的報時開始信號分別和兩個報時鎖存信號相與,產生兩路報時鎖存信號,如圖3-7,上面一路為高音報時鎖存,下面一路為低音報時鎖存。圖中左面三個與非門實現的是與或邏輯,前面已介紹。上下兩路報時鎖存信號分別與1kHz和500Hz的音頻信號(20Hz30kHz)相與或來驅動數字喇叭,實現整點報時功能。這里喇叭使用元件SOUNDER,它接收數字信號。實驗時,把59分50秒這個報時開始信號直接用高電平取代,這樣比較省時。另外實際連接電路時,可用555定時器產生一個1kHz的方波,再經D觸發(fā)器二分屏得到500Hz的方波信號。計時電路的1Hz方波也可由555定時器產生,但由于標準電阻和電容值的選擇會帶來一些積累誤差,也可選用其他更精確的振蕩電路來實現。第4章 仿真結果及誤差分析4.1 實驗結果成功設計一個有“時”、“分”、“秒”(23小時59分59秒)顯示,有校時功能的電子鐘。能夠實現整點報時。在59分51秒時輸出信號,音頻持續(xù)10秒,在結束時刻為整點。且能夠正常仿真。如圖4-1是完整的數字鐘電路圖。圖4-14.2 實時分析本次課程設計電路完全按照仿真圖所連的,在測試時,當開始進行時校時時,沒有出現問題,但當進行到分校時時,發(fā)現計數電路的秒電路開始亂跳出錯。因此,電路一定是有地方出錯了,在反復對照后,發(fā)現是因為在接入校正電路時忘了把秒十位和分個位之間的連線拿掉而造成的,因此,在接線時一定要注意把不要的多余的線拿掉。仿真時用的脈沖是用的軟件里的時鐘脈沖,沒有使用555定時器,可能會造成一定的誤差。第5章 設計總結通過這次數字電子鐘的課程設計,我們把學到的東西與實踐相結合,深化了我對數字電路設計和模擬電路的設計,讓我在設計的實踐中獲得了更多的知識,同時鍛煉了我的動手能力。在這過程中對我們學的知識了更進一步的理解,而且更進一步地熟悉了芯片的結構及掌握了各芯片的工作原理和其具體的使用方法,也鍛煉了自己獨立思考問題的能力和通過查看相關資料來解決問題的習慣。雖然這只是一次學期末的課程設計,但通過這次課程設計我們了解了課設計的一般步驟、方法和設計中應注意的一些問題。我覺得這次設計是很有重要意義的,它鍛煉了同學們對待問題時的態(tài)度和處理事情的能力,了解了各個芯片能夠完成什么樣的功能,使用芯片時應該注意那些要點,同一個電路可以用那些芯片實現,各個芯片實現同一個功能的區(qū)別??傊@次課程設計讓我學到了好多東西,這種課程設計對一個大學生是非常重要的。在此我要感謝我同組的搭檔蔡西!然后,非常感謝廖老師的耐心指導!參考文獻【1】張存禮、韓愛娟主編. 電子技術綜合實訓.北京師范大學出版社.2005.8?!?】朱清慧主編.Proteus教程.清華大學出版社.2011.6?!?】閻石主編. 數字電子技術基礎. 高等教育出版社. 2016.4。

注意事項

本文(數字電路課程設計-數字鐘.doc)為本站會員(xin****828)主動上傳,裝配圖網僅提供信息存儲空間,僅對用戶上傳內容的表現方式做保護處理,對上載內容本身不做任何修改或編輯。 若此文所含內容侵犯了您的版權或隱私,請立即通知裝配圖網(點擊聯系客服),我們立即給予刪除!

溫馨提示:如果因為網速或其他原因下載失敗請重新下載,重復下載不扣分。




關于我們 - 網站聲明 - 網站地圖 - 資源地圖 - 友情鏈接 - 網站客服 - 聯系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網版權所有   聯系電話:18123376007

備案號:ICP2024067431-1 川公網安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網僅提供信息存儲空間,僅對用戶上傳內容的表現方式做保護處理,對上載內容本身不做任何修改或編輯。若文檔所含內容侵犯了您的版權或隱私,請立即通知裝配圖網,我們立即給予刪除!