歡迎來(lái)到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁(yè) 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

多功能數(shù)字鐘.doc

  • 資源ID:6659872       資源大?。?span id="uqe6eyi" class="font-tahoma">7.62MB        全文頁(yè)數(shù):31頁(yè)
  • 資源格式: DOC        下載積分:9.9積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要9.9積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁(yè)到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無(wú)水印,預(yù)覽文檔經(jīng)過(guò)壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒(méi)有明確說(shuō)明有答案則都視為沒(méi)有答案,請(qǐng)知曉。

多功能數(shù)字鐘.doc

題目: 多功能數(shù)字鐘 摘 要該設(shè)計(jì)利用QuartusII軟件設(shè)計(jì)一個(gè)數(shù)字鐘,結(jié)合所學(xué)過(guò)的數(shù)字電路、EDA技術(shù)等知識(shí),進(jìn)行試驗(yàn)設(shè)計(jì)和仿真調(diào)試,實(shí)現(xiàn)了計(jì)時(shí),校時(shí),校分,清零,保持和整點(diǎn)報(bào)時(shí)等多種基本功能,并在此基礎(chǔ)上添加鬧鐘等功能,經(jīng)過(guò)對(duì)各功能分析得到各個(gè)基礎(chǔ)模塊,然后設(shè)計(jì)各個(gè)功能模塊,最后進(jìn)行綜合設(shè)計(jì)。利用quartus進(jìn)行相應(yīng)的設(shè)計(jì)、仿真、調(diào)試,設(shè)計(jì)出多功能數(shù)字鐘。關(guān)鍵字:Quartus 數(shù)字鐘 多功能 目 錄一、 設(shè)計(jì)內(nèi)容1二、 設(shè)計(jì)要求1三、 方案論證1四、 基本電路2(一)脈沖發(fā)生電路2(二)計(jì)時(shí)電路的設(shè)計(jì)8(三)校時(shí)校分保持電路13(四)清零電路13(五)消顫電路14(六)譯碼顯示電路16五、 擴(kuò)展模塊17(一)星期計(jì)時(shí)器18(二)報(bào)時(shí)電路19(三)鬧鐘電路20(四)秒表電路的設(shè)計(jì)22六、 多功能數(shù)字時(shí)鐘的整體結(jié)構(gòu)25七、 試驗(yàn)中出現(xiàn)的問(wèn)題及解決辦法25八、 實(shí)驗(yàn)總結(jié)26參考文獻(xiàn)27致謝281、 設(shè)計(jì)內(nèi)容利用Quartus軟件設(shè)計(jì)一個(gè)數(shù)字鐘,可以完成00:00:00至23:59:59的計(jì)時(shí)功能,在控制電路的作用下具有保持、清零、快速校時(shí)、快速校分、整點(diǎn)報(bào)時(shí)等基本功能,并且添加星期等附加功能,設(shè)計(jì)成一個(gè)多功能數(shù)字鐘。2、 設(shè)計(jì)要求1.基本功能(1)準(zhǔn)確計(jì)時(shí),以數(shù)字形式顯示時(shí)、分、秒的時(shí)間;(2)小時(shí)的計(jì)時(shí)要求為24進(jìn)位,分和秒的計(jì)時(shí)要求為60進(jìn)位;(3)校正時(shí)間,時(shí)、分快校(1HZ);(4)清零、消顫;(5)校時(shí)校分保持2.擴(kuò)展功能(1) 星期;(2) 整點(diǎn)報(bào)時(shí);(3) 鬧鐘;(4) 秒表;3.仿真與驗(yàn)證用Quartus軟件對(duì)設(shè)計(jì)電路進(jìn)行功能仿真。3、 方案論證數(shù)字計(jì)時(shí)器是由計(jì)時(shí)電路、譯碼顯示電路、脈沖發(fā)生電路和控制電路等幾部分組成的,控制電路按要求可由校分校時(shí)電路、清零電路和保持電路組成。其中,脈沖發(fā)生電路將試驗(yàn)箱提供的48Mhz的頻率分成電路所需要的頻率,根據(jù)要求,設(shè)計(jì)出基本計(jì)時(shí)、秒表和星期。清零電路作用時(shí),系統(tǒng)的分秒時(shí)同時(shí)歸零。最后報(bào)時(shí)等電路通過(guò)蜂鳴器輸出,基本計(jì)時(shí)、秒表電路在數(shù)碼管上顯示。多功能數(shù)字鐘的設(shè)計(jì)有很多種方法,例如用數(shù)字電路中的555芯片做脈沖發(fā)生器,利用面包板搭電路,更容易理解,但由于實(shí)驗(yàn)起來(lái)比較復(fù)雜,搭制電路板的也比較繁瑣,容易出錯(cuò),并且不易排查出出錯(cuò)的地方,所以在這里不用數(shù)字電路的方法。多功能數(shù)字鐘也可以利用編程的方法實(shí)現(xiàn),但編寫程序?qū)懫饋?lái)不太容易,也不容易排查改錯(cuò)誤所以最終選擇利用原理圖的方法來(lái)實(shí)現(xiàn),不僅容易理解而且可以鞏固數(shù)字電路與EDA技術(shù)知識(shí),使數(shù)字電路與EDA技術(shù)相結(jié)合。星期電路校分校時(shí)電路 脈沖發(fā)生電路報(bào)時(shí)電路基本計(jì)時(shí)電路保持電路清零電路蜂鳴器譯碼顯示電路 秒表電路 鬧鐘電路 定時(shí)電路圖3.1 多功能數(shù)字鐘整體設(shè)計(jì)框圖4、 基本電路(1) 脈沖發(fā)生電路實(shí)驗(yàn)中使用的震蕩頻率源為48MHz,而基本實(shí)驗(yàn)電路所需的頻率為1KHz,500Hz,2Hz及1Hz。因此,為了獲取我們所需的頻率,我們需要設(shè)計(jì)不同的分頻器并加以不同的組合,這樣就構(gòu)成了我們的脈沖發(fā)生電路。本實(shí)驗(yàn)中,記時(shí)電路與較分電路用的都是1Hz的信號(hào)頻率,消顫電路用的是2Hz的信號(hào)頻率,報(bào)時(shí)電路用到的是500Hz及1KHz的信號(hào)頻率。1KHZ500HZ48MHZ8分頻3分頻2分頻2分頻1000分頻 2HZ1000分頻2分頻1HZ圖4.1 總分頻電路流程圖1.分頻電路(1)2分頻電路2分頻仿真結(jié)果:2分頻封裝圖:2分頻電路由一個(gè)D觸發(fā)器及一個(gè)非門實(shí)現(xiàn),通過(guò)將D觸發(fā)器的和相連,在端即得到一連串的2分頻信號(hào)。(2)3分頻電路3分頻仿真結(jié)果:3分頻封裝圖3分頻電路通過(guò)74160用置數(shù)法實(shí)現(xiàn),其輸出端按照0000000100100000的方式循環(huán)計(jì)數(shù)就可以對(duì)其輸入的脈沖進(jìn)行3分頻,輸出信號(hào)由引出。(3)8分頻電路8分頻仿真結(jié)果:8分頻封裝圖:8分頻電路由3個(gè)2分頻電路級(jí)聯(lián)實(shí)現(xiàn)。(4)24分頻電路仿真結(jié)果:24分頻封裝圖:24分頻電路由3分頻和8分頻電路級(jí)聯(lián)實(shí)現(xiàn)。(5)10分頻電路10分頻仿真結(jié)果:10分頻封裝圖:10分頻電路由一片74163和一個(gè)與非門得到,在1100時(shí)置數(shù)0011,計(jì)數(shù)器循環(huán)方式如下所示:00000001001000110100010101100111 11001011101010011000(6)1000分頻電路1000分頻仿真結(jié)果:1000分頻封裝圖:1000分頻電路由3個(gè)10分頻電路級(jí)聯(lián)實(shí)現(xiàn)。2.脈沖發(fā)生總電路脈沖發(fā)生電路封裝圖:(二)計(jì)時(shí)電路的設(shè)計(jì)基本計(jì)數(shù)完成的是從00:00:00到23:59:59的計(jì)時(shí)功能。計(jì)時(shí)模塊包括秒、分、時(shí),星期四個(gè)模塊,依次進(jìn)位。為產(chǎn)生秒位,需要設(shè)計(jì)一個(gè)模60計(jì)數(shù)器,給以1Hz的信號(hào)頻率;由秒的進(jìn)位產(chǎn)生分位,分位也是用的模60計(jì)數(shù)器;再用一個(gè)模24計(jì)數(shù)器對(duì)分位的進(jìn)位脈沖計(jì)數(shù),產(chǎn)生小時(shí)位;計(jì)時(shí)采用的是同步計(jì)數(shù)器,整個(gè)數(shù)字時(shí)鐘的計(jì)時(shí)電路部分共包括六位:小時(shí)十位、小時(shí)個(gè)位、分十位、分個(gè)位、秒十位和秒個(gè)位。 圖5.1 計(jì)時(shí)電路示意圖1.秒計(jì)時(shí)模塊秒計(jì)時(shí)仿真結(jié)果:秒計(jì)時(shí)封裝圖:ms、mg分別表示模60計(jì)數(shù)器的十位和個(gè)位,在59處有個(gè)進(jìn)位脈沖。秒計(jì)時(shí)模塊模60計(jì)數(shù)器由兩片74160組成,前面一片為秒的個(gè)位,后面一片為秒的十位。74160為模10計(jì)數(shù)器,從0000->1001。后面一片通過(guò)在0101置數(shù)實(shí)現(xiàn)從0000->0101。2.分計(jì)時(shí)模塊分計(jì)時(shí)仿真結(jié)果:分計(jì)時(shí)封裝圖:fs、fg分別表示模60計(jì)數(shù)器的十位和個(gè)位,在59處有個(gè)進(jìn)位脈沖。分計(jì)時(shí)與秒計(jì)時(shí)的遠(yuǎn)離差不多,唯一不同的是由于分清零的條件不僅是分計(jì)到59,而且秒也要計(jì)到59,故清零信號(hào)的輸入還要添加秒計(jì)時(shí)模塊的輸出。3.時(shí)計(jì)時(shí)模塊時(shí)計(jì)時(shí)仿真結(jié)果:模24計(jì)數(shù)器進(jìn)行封裝:ss、sg分別表示模24計(jì)數(shù)器的十位和個(gè)位,由兩片74160組成,并且通過(guò)sg1、sg0、ss1、EN相與非完成置數(shù)和進(jìn)位。4.計(jì)時(shí)總電路4.1基本計(jì)時(shí)電路基本計(jì)時(shí)電路仿真結(jié)果:從上圖我們可以看出,計(jì)時(shí)總電路是由兩個(gè)模60計(jì)數(shù)器與一個(gè)模24計(jì)數(shù)器和一個(gè)與門共同構(gòu)成。從左到右,一次完成的是秒、分、時(shí)的計(jì)數(shù),秒的進(jìn)位CO與分的脈沖輸入端CP直接相連,完成的是逢60秒分進(jìn)一的計(jì)數(shù)原則;而時(shí)的脈沖輸入端CP接將分的進(jìn)位端CO與EN相連,這是因?yàn)橹挥挟?dāng)滿足59分59秒,及分與秒同時(shí)進(jìn)位時(shí),小時(shí)才加一計(jì)數(shù)。如果沒(méi)有上面的與門,直接將分的進(jìn)位端CO與模24計(jì)數(shù)器的CP端下聯(lián)的話,則會(huì)導(dǎo)致分一到59將產(chǎn)生進(jìn)位,每小時(shí)將少計(jì)一分鐘的時(shí)間。4.2計(jì)時(shí)電路計(jì)時(shí)電路仿真結(jié)果:計(jì)時(shí)電路封裝圖:(三)校時(shí)校分保持電路校時(shí)校分保持電路封裝圖:管腳說(shuō)明:輸入:1hz為計(jì)時(shí)脈沖,同時(shí)為外部脈沖,用來(lái)校分時(shí);mjfi為秒計(jì)時(shí)電路輸出的進(jìn)位;fjsi為分計(jì)時(shí)電路輸出的進(jìn)位;ks為校時(shí)開關(guān);kf為校分開關(guān);kbao為保持開關(guān);輸出:mjsq1HZ為秒計(jì)時(shí)電路輸入的計(jì)時(shí)脈沖;mjfo為分計(jì)時(shí)電路的輸入脈沖;fjso為時(shí)計(jì)時(shí)電路的輸入脈沖。(四)清零電路清零電路封裝圖:管腳說(shuō)明:輸入:qingling,表示輸入清零信號(hào);輸出:clrm秒清零,clrf分清零,clrs時(shí)清零,clrxq星期清零。(五)消顫電路消顫封裝圖:由D觸發(fā)器構(gòu)成消顫電路,利用D觸發(fā)器鎖存開關(guān)的動(dòng)作信號(hào),并避免顫抖。消顫開關(guān)組:消顫組合封裝圖:管腳說(shuō)明:輸入:kbaoi為保持開關(guān)的輸入,kclri表示清零輸入,kfi表示校分輸入,ksi表示 校時(shí)輸入,kxqi表示校星期輸入;輸出:kbaoo為保持開關(guān)的輸出,kclro表示清零輸出,kfo表示校分輸出,kso表示 校時(shí)輸出,kxqo表示校星期輸出;(6) 譯碼顯示電路顯示電路主要由數(shù)據(jù)選擇器74151、譯碼器74138、計(jì)數(shù)器、顯示譯碼器7447和數(shù)碼顯示管組成計(jì)數(shù)器74161設(shè)計(jì)為模8的循環(huán)計(jì)數(shù)器,其輸出既作為4片74151的控制端,又作為38譯碼器74138的控制端。當(dāng)計(jì)數(shù)器計(jì)數(shù)到某一個(gè)數(shù)值時(shí),四片74151同時(shí)選取對(duì)應(yīng)位的輸入組成計(jì)時(shí)器某一位的BCD編碼,接入顯示譯碼器7447,與此同時(shí)根據(jù)計(jì)數(shù)器的數(shù)值,74138譯碼器也通過(guò)數(shù)碼管的使能端選擇對(duì)應(yīng)位有效,從而在實(shí)驗(yàn)箱上顯現(xiàn)數(shù)據(jù)。掃描的頻率為1KHz,因?yàn)槿搜鄣囊曈X(jué)停留,會(huì)感覺(jué)七個(gè)數(shù)碼管同時(shí)顯示。實(shí)驗(yàn)電路圖如下所示:譯碼顯示電路進(jìn)行封裝:5、 擴(kuò)展模塊(一)星期計(jì)時(shí)器星期模塊仿真結(jié)果:星期計(jì)數(shù)電路封裝圖:星期電路實(shí)際上是一個(gè)模7計(jì)數(shù)器,完成的是從1到7,分別對(duì)應(yīng)著星期一到星期日這七天。星期計(jì)時(shí)器由74160,從0001計(jì)數(shù)到0111后重新置數(shù)到0001;xq4、xq3、xq2、xq1分別表示從高位到低位,從0001到0111,再跳回0001。(二)報(bào)時(shí)電路當(dāng)計(jì)時(shí)到59分53秒, 59分55秒,59分57秒時(shí),分別發(fā)出一聲較低的蜂鳴聲(500hz);當(dāng)計(jì)時(shí)到59分59秒時(shí),發(fā)出一聲較高的蜂鳴聲(1khz)。需要在某時(shí)刻報(bào)時(shí),就在時(shí)刻輸出信號(hào)1作為觸發(fā)信號(hào),選通報(bào)時(shí)脈沖信號(hào)進(jìn)行報(bào)時(shí)。我們將各時(shí)刻各位對(duì)應(yīng)的二進(jìn)制碼作如下表進(jìn)行比較:表(1)各時(shí)刻各位對(duì)應(yīng)的二進(jìn)制碼時(shí)間分十位分個(gè)位秒十位秒個(gè)位59:53010110010101001159:55010110010101010159:57010110010101011159:590101100101011001從上表我們總結(jié)出,首先分鐘必須是59分,即分的二進(jìn)制碼為01011001,同時(shí)秒的十位必須為5,即0101,而滿足三聲低音的秒的個(gè)位條件為(假設(shè)秒的個(gè)位由高到低為)且或者且。圖(5.6.1)報(bào)時(shí)原理圖報(bào)時(shí)電路仿真結(jié)果: fh3、fh1、fl4、fl1、mh3、mh1、ml分別表示分十位第2位,分十位的第4位,分個(gè)位的第1位,分個(gè)位的第4位,秒十位的第2位,秒十位的第4位,秒個(gè)位,圖中表示59分59秒,報(bào)時(shí)輸出確是跟著1Khz下降沿同步。報(bào)時(shí)電路封裝圖:(三)鬧鐘電路鬧鐘模塊的設(shè)計(jì)主要需要解決三個(gè)問(wèn)題,分別是鬧鐘校分校時(shí)的控制,顯示模塊的復(fù)用和鬧鈴在規(guī)定的時(shí)間響起。 以2HZ頻率來(lái)校分和校時(shí),原理圖如下:鬧鐘電路仿真結(jié)果:鬧鐘電路封裝圖:knz=0表示計(jì)時(shí)模式,kf、ks、jsf、jss表示校時(shí)校分和校時(shí)校分的結(jié)果;knz=1表示鬧鐘模式,kf、ks、nzf、nzs表示鬧鐘定時(shí)定分和結(jié)果。nzxh1表示鬧鐘信號(hào)。(四)秒表電路的設(shè)計(jì)1.模100計(jì)數(shù)器模100計(jì)數(shù)器由兩片74160組成,在計(jì)數(shù)到99是通過(guò)置數(shù)將計(jì)數(shù)值置為0實(shí)現(xiàn)模100的計(jì)數(shù)。計(jì)數(shù)器工作在100hz頻率下作為秒表的分秒位。模100仿真結(jié)果:圖中可以看出計(jì)數(shù)從0計(jì)到99時(shí)有一個(gè)進(jìn)位脈沖。模100計(jì)數(shù)器封裝圖:2. 秒表秒表仿真結(jié)果:fh、fl、mh、ml、fmh、fml分別表示分十位、分個(gè)位、秒十位、秒個(gè)位、分秒十位和分秒個(gè)位,從圖中可以看出分秒位為模100計(jì)數(shù)器,秒位為模60計(jì)數(shù)器,分位和秒位用的計(jì)數(shù)器相同。秒表封裝圖:秒表由模100計(jì)數(shù)器、模60計(jì)數(shù)器組成,模100計(jì)數(shù)器表示秒表的分秒位,模60計(jì)數(shù)器表示秒表的秒位和分位。清零輸入后加了非門是為了最后用高電平控制清零的信號(hào)。3. 秒表計(jì)時(shí)選擇電路 上圖是由24個(gè)或門構(gòu)成的轉(zhuǎn)換電路,左邊兩列完成的是24小時(shí)計(jì)時(shí)器中秒位的高低8位二進(jìn)制數(shù)與秒表中秒分位的高低8個(gè)二進(jìn)制數(shù)的相或輸出,中間兩列完成的是24小時(shí)計(jì)時(shí)器中分位的高低8位二進(jìn)制數(shù)與秒表中秒位的高低8個(gè)二進(jìn)制數(shù)的相或輸出,最右邊兩列完成的是24小時(shí)計(jì)時(shí)器中時(shí)位的高低8位二進(jìn)制數(shù)與秒表中分位的高低8個(gè)二進(jìn)制數(shù)的相或輸出。輸出的y5.0剛好作為譯碼顯示模塊的輸入值,顯示在數(shù)碼管上。若為24小時(shí)計(jì)時(shí)電路,則數(shù)碼管上從右往左依次顯示的是秒個(gè)位、秒十位、分個(gè)位、分十位、時(shí)個(gè)位、時(shí)十位;若為秒表計(jì)時(shí)電路,則數(shù)碼管上從右往左依次顯示的是秒分個(gè)位、秒分十位、秒個(gè)位、秒十位、分個(gè)位、分十位,以此完成了選擇。秒表選擇電路封裝圖:6、 多功能數(shù)字時(shí)鐘的整體結(jié)構(gòu)7、 試驗(yàn)中出現(xiàn)的問(wèn)題及解決辦法1.分頻電路1000分頻電路是由3個(gè)10分頻電路級(jí)聯(lián)而成,在做10分頻電路的時(shí)候,開始是直接用74160從0000開始計(jì)數(shù),直到1001清零或者置數(shù)到0000,但是從仿真的結(jié)果中看到,并不是占空比為1:1的電路,在重新設(shè)計(jì)的過(guò)程中,發(fā)現(xiàn)假如從0011到1100計(jì)數(shù),則最高位D正好是從5個(gè)0至5個(gè)1,正好是50%,所以直接置數(shù)0011,從QD位直接輸出,但是結(jié)果占空比還是做不到1:1,沿著0011的想法,通過(guò)畫卡諾圖得出表達(dá)式為,最后設(shè)計(jì)出現(xiàn)在的原理圖,而且也達(dá)到占空比為1:1。在設(shè)計(jì)1000分頻的過(guò)程中,一直認(rèn)為只要將3個(gè)10分頻的計(jì)數(shù)器級(jí)聯(lián)就可以了,但是在最開始做的占空比不為1:1的10分頻計(jì)數(shù)器級(jí)聯(lián)之后,仿真的結(jié)果是錯(cuò)誤的,根本達(dá)不到1000分頻,經(jīng)過(guò)幾次試驗(yàn)之后,才做出1000分頻計(jì)數(shù)器。2. 計(jì)數(shù)電路在設(shè)計(jì)計(jì)數(shù)的基礎(chǔ)模塊的時(shí)候,一開始認(rèn)為時(shí)為24,分和秒都為60,那么分和秒做一個(gè)就可以了,但是在把3個(gè)模塊相連到一起時(shí),從仿真結(jié)果發(fā)現(xiàn),不能在59分59秒的下一時(shí)刻做到1小時(shí)0分0秒,所以重新設(shè)計(jì)秒60和分60模塊,兩個(gè)模塊幾乎一樣,僅僅是分模塊在清零信號(hào)的輸入還要添加秒計(jì)時(shí)模塊的輸出。3. 星期電路星期電路的設(shè)計(jì)其實(shí)很簡(jiǎn)單,只用1-7循環(huán)就可以了,但是想做的完美一些,就想把星期天設(shè)計(jì)為星期8,因?yàn)樵陲@示上8就和日一樣,看上去就是星期日,那么整個(gè)循環(huán)就是1-6、8,但是經(jīng)過(guò)幾個(gè)實(shí)驗(yàn)后我做不到從星期6直接跳到星期8,所以到最后也沒(méi)能實(shí)現(xiàn)。4. 秒表秒表是從0-99計(jì)數(shù),所以增加了一個(gè)模為100的計(jì)數(shù)器,并且在計(jì)數(shù)到99的時(shí)候,要有一個(gè)進(jìn)位脈沖,可是在設(shè)計(jì)的過(guò)程中不知道是哪里除了一點(diǎn)小問(wèn)題,在計(jì)數(shù)到98的時(shí)候就有一個(gè)小脈沖,非常小,最開始以為沒(méi)關(guān)系,但是在設(shè)計(jì)秒表的整體電路的時(shí)候,仿真過(guò)程中發(fā)現(xiàn)整個(gè)的仿真結(jié)果是錯(cuò)誤的,經(jīng)過(guò)修改,消除了98的脈沖信號(hào),得到現(xiàn)在的電路。5. 譯碼顯示在做總體編譯的時(shí)候,秒表功能與普通計(jì)數(shù)都要接入譯碼顯示上,所以在編譯的時(shí)候出現(xiàn)錯(cuò)誤,標(biāo)線重復(fù),改了很多次都不行,最后加入了一個(gè)計(jì)數(shù)秒表選擇電路才解決問(wèn)題,其作用就是把選擇是計(jì)數(shù)模式或者是秒表模式。 參考文獻(xiàn)1潘松,王芳,張?bào)阍?EDA技術(shù)及其應(yīng)用(第二版)M.科學(xué)出版社,20112蔣立平,姜萍,譚雪琴,花漢兵.數(shù)字邏輯電路與系統(tǒng)設(shè)計(jì)M.電子工業(yè)出版,20083劉艷萍,高振斌,李志軍. EDA 實(shí)用技術(shù)及應(yīng)用M. 國(guó)防工業(yè)出版社,2006 4石悅.多功能數(shù)字鐘設(shè)計(jì)J.現(xiàn)代商貿(mào)工業(yè), 20125謝自美.電子技術(shù)基礎(chǔ)M.華中科技大學(xué)出版社,20066楊頌華,馮毛官.數(shù)字電子技術(shù)基礎(chǔ)M.西安電子科技大學(xué)出版社,20037周婷婷,EDA設(shè)計(jì)(二)多功能數(shù)字鐘設(shè)計(jì)D.南京理工大學(xué),2011

注意事項(xiàng)

本文(多功能數(shù)字鐘.doc)為本站會(huì)員(w****2)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!