歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

基于eda的數(shù)字鐘程序設(shè)計(jì).doc

  • 資源ID:6620312       資源大?。?span id="vylxuhp" class="font-tahoma">635KB        全文頁數(shù):18頁
  • 資源格式: DOC        下載積分:9.9積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要9.9積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說明有答案則都視為沒有答案,請(qǐng)知曉。

基于eda的數(shù)字鐘程序設(shè)計(jì).doc

一、課題要求:(1)技術(shù)要求:1、掌握多功能數(shù)字鐘的工作原理。2、應(yīng)用EDA技術(shù),VHDL語言編寫程序。3、層次化設(shè)計(jì),設(shè)計(jì)原理框圖。4,、硬件設(shè)計(jì)及排版。(2)功能要求:1、基本功能:能進(jìn)行正常的時(shí)、分、秒計(jì)時(shí)功能,分別由6個(gè)數(shù)碼管顯示24小時(shí)、60分鐘、60秒的計(jì)數(shù)器顯示。2、 擴(kuò)展功能:(1)能夠利用按鍵實(shí)現(xiàn)“校時(shí)”“校分”“清零”功能。 (2) 能利用揚(yáng)聲器做整點(diǎn)報(bào)時(shí),整點(diǎn)前五秒短聲,整點(diǎn)長(zhǎng)聲。(3)本人工作:負(fù)責(zé)軟件部分,編寫各部分模塊的VHDL程序,并且鎖定引腳,將程序下載到芯片中。二、設(shè)計(jì)方案:原理框圖:數(shù)字鐘原理框圖一共有11個(gè)小模塊:分頻,片選,按鍵,小時(shí),分,秒,顯示模塊,七段顯示譯碼器,報(bào)時(shí),揚(yáng)聲,36譯碼器。左邊第一個(gè)是時(shí)鐘信號(hào)輸入端,50Mhz到分頻模塊。第二個(gè)為清零按鍵,第三個(gè)為校分按鍵,第四個(gè)為校時(shí)按鍵。右邊第一個(gè)為七段顯示譯碼器輸出端,第二個(gè)為揚(yáng)聲器輸出端,第三個(gè)為6個(gè)數(shù)碼管輸出端。中間模塊為數(shù)字鐘的核心,有計(jì)時(shí),報(bào)時(shí),校時(shí)功能。三、單元模塊設(shè)計(jì)1、分頻模塊該模塊是將時(shí)鐘脈沖50Mhz分頻到1000、500和1,分別給報(bào)時(shí)模塊和及時(shí)模塊。2、秒模塊仿真圖該模塊為60進(jìn)制計(jì)數(shù)器,有分頻模塊得到的1hz進(jìn)行計(jì)時(shí),計(jì)時(shí)輸出為秒的數(shù)值,在計(jì)時(shí)到59時(shí)進(jìn)位1到co端。當(dāng)按下s3時(shí),秒清零。3.、分模塊 仿真圖該模塊也為60進(jìn)制計(jì)數(shù)器,計(jì)時(shí)輸出為分的數(shù)值。在EN信號(hào)有效且時(shí)鐘來時(shí),計(jì)數(shù)器加1、在s2按下時(shí),EN使能端有效,實(shí)現(xiàn)校分功能。4、時(shí)模塊仿真圖該模塊為24進(jìn)制計(jì)數(shù)器,計(jì)時(shí)輸出為小時(shí)的數(shù)值,在EN信號(hào)到來時(shí),計(jì)數(shù)器加1,在S1按下時(shí),EN信號(hào)有效,實(shí)現(xiàn)校時(shí)功能。5、片選模塊仿真圖該模塊提供數(shù)碼管片選信號(hào)。6、按鍵模塊仿真圖該模塊是有幾個(gè)門電路組成,把它編寫成一個(gè)模塊,到時(shí)寫程序方便。該模塊連接幾個(gè)按鍵,由按鍵控制。7、報(bào)時(shí)模塊仿真圖該模塊為整點(diǎn)報(bào)時(shí)提供控制信號(hào),當(dāng)59分時(shí),秒為50,52,54,56,58時(shí),Q500輸出“1”;秒為00時(shí),Q1000輸出“1”。這兩個(gè)信號(hào)經(jīng)過邏輯門實(shí)現(xiàn)報(bào)時(shí)功能。8、BBB模塊仿真圖該模塊對(duì)應(yīng)不同的片選信號(hào)送出不同的要顯示的數(shù)據(jù)。9、36譯碼器模塊仿真圖該模塊為36譯碼器,為輸出到數(shù)碼管對(duì)應(yīng)功能。10、七段譯碼器模塊仿真圖該模塊為七段譯碼器,輸出到數(shù)碼管的每一個(gè)引腳,可以正確的點(diǎn)亮每一個(gè)數(shù)碼管。11、揚(yáng)聲模塊仿真圖該模塊也為幾個(gè)門電路組合,控制著對(duì)揚(yáng)聲器的輸入,當(dāng)時(shí)間到達(dá)某一點(diǎn)時(shí),輸出不同的脈沖信號(hào)到揚(yáng)聲器,給予揚(yáng)聲器信號(hào)。四、頂層模塊設(shè)計(jì)程序圖:總體框圖頂層文件將上面11個(gè)小模塊集合到一起構(gòu)成了總的程序,最終也是將這個(gè)頂層文件寫到FPGA芯片中。五、硬件電路設(shè)計(jì)由另一位同學(xué)負(fù)責(zé),收集好器件,將器件排版在電路板上,并將其焊接成成品。六、硬件電路安裝及調(diào)試在EDA中,將頂層文件各個(gè)輸入與輸出端口鎖定引腳。鎖定好引腳后將整個(gè)頂層文件下載到FPGA芯片中。注:在下載時(shí),要注意先安裝USB程序,再設(shè)置IDE環(huán)境設(shè)置,然后再programmer中選擇自己要的文件,下載時(shí)必須先安裝好芯片再接電源,下載成功后,先拔電源,再拔下USB。下載好以后,用杜邦線將硬件和芯片的引腳連接好,檢查無誤后進(jìn)行測(cè)試。七、調(diào)試結(jié)果為了每個(gè)模塊的正確性,將一一對(duì)每個(gè)模塊進(jìn)行測(cè)試。將芯片與硬件連接好,檢查無誤后,打開電源,此時(shí)硬件上的數(shù)碼管開始計(jì)時(shí),等到秒為59時(shí),下一秒,分為1,秒為00。然后用校時(shí)功能按鍵將分校分到59分,此時(shí)當(dāng)秒為50時(shí),揚(yáng)聲器開始響,并且到整點(diǎn)時(shí),揚(yáng)聲器持續(xù)響一段時(shí)間。按下S3,秒清零。調(diào)試結(jié)束,基本功能能夠計(jì)時(shí),并且進(jìn)位到分和時(shí),擴(kuò)展功能,按下S2和S3后能夠進(jìn)行分和時(shí)的校時(shí),并且到整點(diǎn)時(shí)開始報(bào)時(shí)。八、設(shè)計(jì)中遇到的問題及解決方案我負(fù)責(zé)軟件部分,開始在編寫程序時(shí)根本無從下手,再找了一些資料后終于對(duì)數(shù)字鐘有些了解。用EDA設(shè)計(jì)每一個(gè)模塊,都需要一個(gè)一個(gè)的去仿真,看程序?qū)Σ粚?duì),經(jīng)常在一些小程序上出現(xiàn)問題。本來有好多門電路,發(fā)現(xiàn)放在頂層文件中比較麻煩,所以將這些門電路組成兩個(gè)模塊,按鍵模塊和揚(yáng)聲模塊,這樣方便頂層文件的編寫。分頻模塊,由于要將50MHz的脈沖分到1000Hz,500Hz,和1Hz,分頻量比較大,不能仿真,只能先改小一下數(shù)據(jù),驗(yàn)證程序是否正確。36譯碼器部分,本來使用的是38譯碼器,發(fā)現(xiàn)多了2個(gè)輸入,有6個(gè)數(shù)碼管,但是38譯碼器并不影響輸出。在下載過程,鎖定引腳,發(fā)現(xiàn)芯片上的引腳與硬件上的引腳是反的,數(shù)碼管顯示的是亂碼。當(dāng)數(shù)字鐘的時(shí)分秒順序錯(cuò)了以后,不需要重新鎖定引腳,只要將對(duì)應(yīng)的杜邦線對(duì)調(diào)一下就行了。我們校時(shí)用的按鍵是自鎖開關(guān),其實(shí)只要改成下拉電阻就可以了。九、收獲與體會(huì) 經(jīng)過兩個(gè)星期的數(shù)電課程設(shè)計(jì),收獲很大,讓我們自己設(shè)計(jì)了數(shù)電模型,從軟件和硬件上學(xué)到了很多知識(shí)。兩個(gè)同學(xué)一個(gè)設(shè)計(jì)軟件,一個(gè)設(shè)計(jì)硬件,不僅提高了學(xué)習(xí)能力,還培養(yǎng)了團(tuán)隊(duì)合作能力。我負(fù)責(zé)的是軟件部分,這學(xué)期正好學(xué)習(xí)了EDA技術(shù),把它應(yīng)用到了軟件的程序編寫中來。我們?cè)O(shè)計(jì)的是數(shù)字鐘,雖然數(shù)字鐘看起來很簡(jiǎn)單,但是要把每個(gè)模塊全部搞在一起還是有點(diǎn)難度的。開始經(jīng)過上網(wǎng)及圖書館借書來了解數(shù)字鐘的結(jié)構(gòu)與工作原理,把它每一部分的模塊摸透清楚后,在開始編程,寫入芯片。同時(shí)還要把軟件與硬件結(jié)合起來,在各自做各自的工作時(shí)還要緊密結(jié)合自己組員的工作,只有兩個(gè)人的東西做完后,組合起來才算真正的完成。 首先明確好數(shù)字鐘的功能,基本功能:能進(jìn)行正常的時(shí)、分、秒計(jì)時(shí)功能,分別由6個(gè)數(shù)碼管顯示24小時(shí)、60分鐘、60秒的計(jì)數(shù)器顯示。擴(kuò)展功能:(1)能夠利用按鍵實(shí)現(xiàn)“校時(shí)”“校分”“清零”功能。(2) 能利用揚(yáng)聲器做整點(diǎn)報(bào)時(shí),整點(diǎn)前五秒短聲,整點(diǎn)長(zhǎng)聲。然后設(shè)計(jì)好總的原理圖,將功能全部覆蓋進(jìn)去,設(shè)計(jì)每個(gè)模塊,用EDA中的VHDL編寫程序,然后再仿真,根據(jù)仿真圖檢測(cè)自己的程序是否正確。當(dāng)每個(gè)模塊在電腦中仿真無誤時(shí),編寫頂層文件,把各個(gè)小模塊連接到一起,然后將整個(gè)程序畫出原理框圖,與自己預(yù)測(cè)的相比較。當(dāng)軟件做好后,用下載線將自己寫的程序?qū)戇M(jìn)芯片中,不過此時(shí)要注意先連接好線才能開電源,當(dāng)下載后,要先關(guān)電源,再拔USB接口。下載后與同組同學(xué)用杜邦線連接開始測(cè)試,第一次先將整個(gè)程序?qū)懭?,發(fā)現(xiàn)沒有正確顯示,然后一個(gè)一個(gè)模塊檢測(cè)后,發(fā)現(xiàn)了問題,終于數(shù)字鐘做好了,基本功能與擴(kuò)展功能都出來了。這次課程設(shè)計(jì)后,軟件方面有著明顯的提高,而且合作啊能力也提高了,作品完成的還是很好的。首先要感謝老師的細(xì)心教導(dǎo),讓我學(xué)習(xí)到了好多知識(shí),從硬件到軟件,不僅僅是知識(shí)上,還有能力上,還要感謝同組同學(xué),沒有他的硬件,這次數(shù)字鐘也完不成。這次收獲還是很大的,自己的努力與汗水終于沒有出,總結(jié)這次課程設(shè)計(jì)的經(jīng)驗(yàn),在以后的課程設(shè)計(jì)中能夠更好的發(fā)揮!十、參考文獻(xiàn):1、EDA技術(shù)與VHDL 清華大學(xué)出版社2、數(shù)字系統(tǒng)設(shè)計(jì)與Verilog HDL(第三版) 王金明編著3、EDA技術(shù)與應(yīng)用(第2版) 江國(guó)強(qiáng)編著4、EDA技術(shù)實(shí)用教程 科學(xué)出版社

注意事項(xiàng)

本文(基于eda的數(shù)字鐘程序設(shè)計(jì).doc)為本站會(huì)員(xin****828)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!