歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > PPT文檔下載  

pentium指令系統(tǒng)之邏輯運(yùn)算和移位指令.ppt

  • 資源ID:6399183       資源大?。?span id="pxrvnn5" class="font-tahoma">503.31KB        全文頁數(shù):52頁
  • 資源格式: PPT        下載積分:14.9積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要14.9積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說明有答案則都視為沒有答案,請(qǐng)知曉。

pentium指令系統(tǒng)之邏輯運(yùn)算和移位指令.ppt

三 邏輯運(yùn)算和移位指令 一 邏輯運(yùn)算指令1 AND Logicaland 邏輯 與 指令2 TEST Testornon destructivelogicaland 測(cè)試指令3 OR Lgicalinclusiveor 邏輯 或 指令4 XOR Logicalexclusiveor 邏輯 異或 指令5 NOT Logicalnot 邏輯 非 指令特點(diǎn) 邏輯運(yùn)算是按位操作 操作數(shù)應(yīng)該是位串而不是數(shù) 影響條件碼 NOT指令除外 其他指令同 SFZFPF 1 AND Logicaland 邏輯 與 指令格式 ANDdest src B W dest dest src src 立即數(shù) 寄存器 存儲(chǔ)器 dest 寄存器 存儲(chǔ)器 執(zhí)行操作 按位進(jìn)行 與 運(yùn)算 操作類型舉例 ANDAL 0FH 寄存器 立即數(shù)ANDCX DI 寄存器 寄存器ANDSI MEM NAME 寄存器 存儲(chǔ)器ANDALPHA DI AX 存儲(chǔ)器 寄存器ANDwordptr BX SI 0FFFEH 存儲(chǔ)器 立即數(shù) 與 指令的用途 對(duì)一個(gè)數(shù)據(jù)的指定位清0將指定位與 0 相與 例 若 AL 43HANDAL 0FH AL 03H AL 0 3不變 AL 4 7 00H 屏蔽高4位 與 指令應(yīng)用舉例 例1 將英文小寫字母ASCII轉(zhuǎn)換成大寫 小寫英文字母ASCII為 a z 61H 7AH大寫英文字母ASCII為 A Z 41H 5AH程序 MOVAL z ANDAL 0DFH 例2 判斷鍵盤按下的字符是不是 Y MOVAH 7INT21H 鍵入無回顯 AL 輸入鍵的字符碼ANDAL 0DFHCMPAL Y JEYES YES 01100001 01000001 11011111 61H 41H DFH 2 TEST Testornon destructivelogicaland 測(cè)試指令格式 TESTdest src dest src src 立即數(shù) 寄存器 存儲(chǔ)器 dest 寄存器 存儲(chǔ)器 執(zhí)行操作 二個(gè)操作數(shù)相與的結(jié)果不存入目的 只影響標(biāo)志位 操作類型舉例 TESTBH 7 寄存器 立即數(shù)TESTSI BP 寄存器 寄存器TESTDI TABLE BX 寄存器 存儲(chǔ)器TEST SI CH 存儲(chǔ)器 寄存器TESTwordptr BX DI 6ACEH 存儲(chǔ)器 立即數(shù) 例 判斷A單元中數(shù)據(jù)的奇偶性設(shè) A 0AEH程序 MOVAL A AL 0AEHTESTAL 01HJZEVEN 結(jié)果 0為偶數(shù)轉(zhuǎn)EVEN 奇數(shù)處理 EVEN 偶數(shù)處理檢測(cè) AL 的最低位是否為0 若為0轉(zhuǎn)EVEN 用途 常常用來檢測(cè)指定位是1 0 但又不希望改變?cè)械牟僮鲾?shù)的情況下 常在此指令后邊加一條條件轉(zhuǎn)移指令 3 OR Lgicalinclusiveor 邏輯 或 指令格式 ORdset src dset dset src dest 寄存器 存儲(chǔ)器 src 立即數(shù) 寄存器 存儲(chǔ)器 執(zhí)行操作 進(jìn)行按位 或 運(yùn)算用途 將操作數(shù)的指定位置1 操作類型舉例 ORBL 0F6H 寄存器立即數(shù)ORAH BL 寄存器寄存器ORCL BETA BX DI 寄存器存儲(chǔ)器ORGAMMA SI DX 存儲(chǔ)器寄存器ORBYTEPTRMEM BYTE 80H 存儲(chǔ)器立即數(shù) 4 XOR Logicalexclusiveor 邏輯 異或 指令格式 XORdset src B W dset dset src dest 寄存器 存儲(chǔ)器 src 立即數(shù) 寄存器 存儲(chǔ)器 執(zhí)行的操作 對(duì)指令的兩個(gè)操作數(shù)進(jìn)行按位 異或 運(yùn)算 操作類型舉例 XORDI 23F6HH 寄存器 立即數(shù)XORSI DX 寄存器 寄存器XORCL BUFFER 寄存器 存儲(chǔ)器XORMEM BX AX 存儲(chǔ)器 寄存器XORbyteptrTABLE BP SI 3DH 存儲(chǔ)器 立即數(shù) 用途 a對(duì)某些特定位求反 某些特定位與 1 其余位保持不變 保持不變與 0 b初始化時(shí)將某個(gè)寄存器清0應(yīng)用舉例 例1 將 AL 中的第1 3 5 7位求反0 2 4 6位保持不變 MOVAL 0FHXORAL 0AAH例2 比較三條AX清 0 指令 XORAX AX 清AX 清CF 2個(gè)字節(jié) 3個(gè)T SUBAX AX 清AX 清CF 2個(gè)字節(jié) 3個(gè)T MOVAX 0 清AX 不影響標(biāo)志位 3個(gè)字節(jié) 4個(gè)T XOR清 0 指令在多字節(jié)累加程序中十分有用 例3 將存放在TABLE開始的100個(gè)字節(jié)的16進(jìn)制數(shù)進(jìn)行累加 和存于SUM 1 SUM單元 程序 100個(gè)字節(jié)的16進(jìn)制數(shù)進(jìn)行累加 LEABX TABLEMOVCL 100XORAX AXLOOPER ADDAL BX JNCGOONINCAHGOON INCBXDECCLJNZLOOPERMOVSUM AXHLT 流程圖 5 NOT Logicalnot 邏輯 非 指令格式 NOTdest B W指令操作數(shù)只有一個(gè) 指令對(duì)操作數(shù)按位求反 執(zhí)行操作 字節(jié)求反 dest 0FFH dest 字求反 dest 0FFFFH dest 源操作數(shù) 寄存器 存儲(chǔ)器 不能是立即數(shù) 影響標(biāo)志位 對(duì)標(biāo)志位無影響 操作類型舉例 NOTAH 8位寄存器求反NOTCX 16位寄存器求反NOTBYTEPTR BP 8位存儲(chǔ)器求反NOTWORDPTRCOUNT 16位存儲(chǔ)器求反 綜合舉例 1 使某些位置 0 INAL 61H AL B 設(shè)備控制寄存器 想使此位為0執(zhí)行指令 ANDAL 0FDH即可 2 使某些位置 1 INAL 61H AL 執(zhí)行指令 ORAL 02 想使此位為 1 3 使某些位求反 INAL 61H AL 0 XORAL 02H 00000010 1 4 測(cè)試某些位 測(cè)奇偶性 測(cè)試 奇 偶 性 見TEST指令的用途例子 邏輯指令應(yīng)用小結(jié) 1 AND指令用來對(duì)指令的指定位清 0 2 OR指令常用來對(duì)某些位置1 3 XOR指令用在程序開頭 使某個(gè)寄存器清 0 4 NOT指令對(duì)某個(gè)數(shù)據(jù)取反 1成補(bǔ)碼 5 TEST指令用來檢測(cè)指定位為1 還是0 1 算邏移位指令 4條 SHL SHR SAL SAR 1 SHL SAL Shiftlogicalleft shiftarithmeticleft 邏輯左移 算術(shù)左移指令 2 SHR Shiftlogicalright 邏輯右移指令 3 SAR Shiftarithmeticright 算術(shù)右移指令 2 循環(huán)移位 4條 ROL ROR RCL RCR 1 ROL Rotateleft 不含CF循環(huán)左移指令 2 ROR Rotateright 不含CF循環(huán)右移指令 3 RCL Rotateleftthroughcarry 含CF循環(huán)左移指令 4 RCR Rotaterightthroughcarry 含CF循環(huán)右移指令 二 移位指令 共同點(diǎn) 所有移位指令都可以作B W DW操作 指令中的dest 寄存器 存儲(chǔ)器尋址方式 cnt 表示移位次數(shù)cnt 1 31 移位的位數(shù)可直接在指令中寫出cnt 31 用CL存放移位次數(shù) 不能是其他寄存器 如 SALAX 1MOVCL 4SALAX CL利用移位指令編制 程序 執(zhí)行時(shí)間比直接用 指令快 速度可提高5 6倍 1 算邏移位指令 4條 SHL SHR SAL SAR 1 SHL SAL Shiftlogicalleft shiftarithmeticleft 邏輯左移 算術(shù)左移指令格式 SHLdest cnt 邏輯左移指令 B WSALdest cnt 算術(shù)左移指令 B Wdest 寄存器 存儲(chǔ)器尋址方式 cnt 表示移位次數(shù)cnt 1 31 常數(shù)可寫在指令中 cnt 31 用CL存放移位次數(shù) 執(zhí)行操作 相當(dāng)于無符號(hào)數(shù)的 2功能 SHL SAL指令操作示意圖如下圖所示 指令格式舉例 SHLAH 1SALSI CLSALWORDPTR BX 5 1SHLBYTEPTR CL dest 2 SHR Shiftlogicalright 邏輯右移指令格式 SHRdest cnt 邏輯右移指令 B W執(zhí)行操作 相當(dāng)于無符號(hào)數(shù)的 2功能 SHR指令操作示意圖如下圖所示 dest 寄存器 存儲(chǔ)器尋址方式 指令格式舉例 SHRBL 1SHRAX CLSHRBYTEPTR DI BP 1SHRWORDPTRBLOCK CL dest 3 SAR Shiftarithmeticright 算術(shù)右移指令格式 SARdest cnt 算術(shù)右移指令 B W執(zhí)行操作 SAR指令操作示意圖如下圖所示 dset dest 寄存器 存儲(chǔ)器尋址方式 指令格式舉例 SARAL 1SHRDL CLSHRWORDPTRTABLE SI 1SHRBYTEPTRSTATUS CL 2 循環(huán)移位 4條 ROL ROR RCL RCR 共同點(diǎn) ROL ROR不含CF循環(huán)移位指令 操作數(shù)移動(dòng)8次后還原 RCL RCR含CF循環(huán)移位指令 操作數(shù)移動(dòng)9次后還原 影響標(biāo)志OF CF 1 ROL Rotateleft 不含CF循環(huán)左移指令格式 ROLdest cnt 不含CF循環(huán)左移指令 B W執(zhí)行操作 ROL指令操作示意圖如下圖所示移動(dòng)8次后操作數(shù)還原 dest 指令格式舉例 ROLBH 1ROLDX CLROLWORDPTRTABLE DI 1ROLBYTEPTRALPHA CL 影響標(biāo)志OF CF情況 左循環(huán)移動(dòng)1次后 移位后 最高有效位 符號(hào)位 是否發(fā)生變化 如果移位后 最高有效位與CF不等則 OF 1 否則 無變化時(shí) OF 0CF根據(jù)各條指令的規(guī)定設(shè)置 左循環(huán)移動(dòng)多次后 OF值不定 CF 從目標(biāo)操作數(shù)移出的最后一位 SF ZF PF AF不影響 2 ROR Rotateright 不含CF循環(huán)右移指令格式 RORdest cnt 不含CF循環(huán)右移指令 B W執(zhí)行操作 ROR指令操作示意圖如下圖所示右移8次后操作數(shù)還原 dest 指令格式舉例 RORCX 1ROLBH CLROLBYTEPTRBETA 1ROLWORDPTRCOUNT CL 3 RCL Rotateleftthroughcarry 含CF循環(huán)左移指令格式 RCRdest cnt 含CF循環(huán)左移指令 B W執(zhí)行操作 RCL指令操作示意圖如下圖所示左移9次后操作數(shù)還原 dest 指令格式舉例 RCLBX 1RCLDL CLRCLBYTEPTRARRAY DI 1RCLWORDPTR SI BP CL影響標(biāo)志 RCL與ROL影響標(biāo)志OF CF情況相同 4 RCR Rotaterightthroughcarry 含CF循環(huán)右移指令格式 RCRdest cnt 含CF循環(huán)左移指令 B W執(zhí)行操作 RCR指令操作示意圖如下圖所示右移9次后操作數(shù)還原 dest 指令格式舉例 RCRDI 1RCRSI CLRCRWORDPTR SI BX 3 1RCLBYTEPTRPORT CL影響標(biāo)志 RCR與ROR影響標(biāo)志OF CF情況相同 小結(jié) 循環(huán)移位指令 Rotate 與移位指令 Shift 不同點(diǎn) 循環(huán)移位指令移位后 操作數(shù)中原來各數(shù)位的信息不會(huì)丟失 這是移動(dòng)了位置 必要時(shí)可以恢復(fù) 循環(huán)移位指令可以對(duì)操作數(shù)進(jìn)行測(cè)試 例 測(cè)試 AL 5 0 MOVCL 3ROLAL CLJNCZERO ZERO 3 雙精度移位指令SHLD SHRD 格式 SHLD shrddest src cnt 執(zhí)行操作 目的操作數(shù)和源操作數(shù)連續(xù)左移 右移cnt位 結(jié)果在目的操作數(shù)中 源操作數(shù)不變 注意 源操作數(shù)必須在寄存器中 目的操作數(shù)可以是寄存器 也可以是內(nèi)存單元 例 SHLDEAX EBX 3SHLDMEM WORD DX 8SHRDECX EDX 19SHRDEAX EBX CL 5種基本操作 1 MOVS Movestring 串傳送指令2 CMPS Comparestring 串比較指令3 SCAS Scanstring 串掃描指令 串檢索 4 LODS Loadfromstring 從串取指令5 STOS Storeintostring 存入串指令6 INS OUTS In Outstring I O串操作指令 四 串操作指令 Stringmanipulation 串 就是內(nèi)存中一段地址相連的字節(jié)或字 串操作 對(duì)串中各單元進(jìn)行操作 也叫數(shù)據(jù)塊操作 共同點(diǎn) 可以只有源操作數(shù) 可以只有目標(biāo)操作數(shù) 可能二者都有 源操作數(shù)用SI尋址 隱含DS值為段地址 可以用段跨越前綴指定其它段 目標(biāo)操作數(shù)用DI尋址 隱含ES為段地址 每次操作對(duì)SI DI調(diào)整 DF 1 DI SI自動(dòng) 1 字節(jié) 或 2 字 DF 0 DI SI自動(dòng) 1 字節(jié) 或 2 字 與上述指令基本配合使用前綴有 REP Repeat 重復(fù) REPE REPZ Repeatwhileequal zero 相等 為零則重復(fù) REPNE REPNZ Repeatwhilenotequal notzero 不相等 不為零則重復(fù) 下面分二種情況來討論 一 與REP相配合工作的MOVS STOS LODS指令REP重復(fù)串操作直到 CX 0為止 二 與REPE REPZ和REPNE REPNZ聯(lián)合工作的CMPS和SCAS指令REPE REPZ當(dāng)相等 為零時(shí)重復(fù)串操作REPNE REPNZ當(dāng)不相等 不為零時(shí)重復(fù)串操作 一 與REP相配合工作的MOVS STOS LODS指令REP重復(fù)串操作直到 CX 0為止格式 REP串指令串指令可為 MOVS STOS LODS MOVS MoveString 串傳送LODS LoadfromString 從串取指令STOS StoreintoString 存入串指令 MOVS Movestring 串傳送串傳送有3種格式 1 MOVSB 字節(jié) 執(zhí)行操作 ES DI DS SI SI SI 1 DI DI 1 2 MOVSW 字 執(zhí)行操作 ES DI DS SI SI SI 2 DI DI 2當(dāng)方向標(biāo)志CLD DF 0時(shí)用 STD DF 1時(shí)用 該指令不影響條件碼 歸納在執(zhí)行MOVS指令時(shí) 應(yīng)該先做好以下準(zhǔn)備工作 1 數(shù)據(jù)段中源串首地址 如反向傳送到末地址 存入SI寄存器中 2 附加段中目的串首地址 或反向傳送末地址 存入DI寄存器中 3 數(shù)據(jù)串長(zhǎng)度存入CX寄存器 4 建立方向標(biāo)志建立方向標(biāo)志介紹兩條指令 CLD cleardirectionflag 功能 DF 0 執(zhí)行串處理指令時(shí)可以使地址自動(dòng) 1或 2 STD setdirectionflag 功能 DF 1 執(zhí)行串處理指令時(shí)可以使地址自動(dòng) 1或 2 LODS Loadfromstring 取字符串指令取字符串指令有3種格式 1 LODSB 字節(jié) AL DS SI SI SI 1LODSW 字 AX DS SI SI SI 2 3 LODSD 雙字 EAX DS SI SI SI 4功能 該指令把由 SI 指定的數(shù)據(jù)段中某單元內(nèi)容 AL 或 AX 或 EAX 中 DF 0 SI SI 1或 2或 4DF 1 SI SI 1或 2或 4 LODS應(yīng)用注意 1 指令允許用段跨越前綴來指定非數(shù)據(jù)段的存儲(chǔ)區(qū) 2 該指令不影響條件碼 一般說來 它不與REP聯(lián)用 每重復(fù)一次 累加器的內(nèi)容就改變一次 AL 中只能保持最后一個(gè)元素 有時(shí)緩沖區(qū)中的一串字符需要多次取出測(cè)試時(shí)可用本指令 適用于在一個(gè)循環(huán)中 用基本串操作指令構(gòu)成復(fù)雜串操作時(shí)很有用 存入串指令有3種格式 1 STOSB 字節(jié) ES DI AL DI DI 1STOSW 字 ES DI AX DI DI 2 3 STOSD 字 ES DI AX DI DI 4 用途 與REP聯(lián)用時(shí) CX 緩沖區(qū)長(zhǎng)度 用來建立一串相同的值 3STOS Storeintostring 存入串指令將 AL 或 AX ES DI DI 1或 2或 4 DI 例 在附加段STORE開始存5個(gè)空格程序 MOVAL 20H AL MOVCX 5MOVDI offsetSTORECLDREPSTOSB ES DI AL DI DI 1 學(xué)習(xí)LODS STOS指令應(yīng)用例 內(nèi)存中有一個(gè)首地址為BLOCK補(bǔ)碼表示的有符號(hào)數(shù)的數(shù)據(jù)塊 要求將正 負(fù)數(shù)分開 分別存于二個(gè)緩沖區(qū) 存放正數(shù)的緩沖區(qū)首址為PLUS DATA 存放負(fù)數(shù)的緩沖區(qū)首址為MINUS DATA 設(shè) 源數(shù)據(jù)塊用SI尋址 正數(shù)的目的區(qū)用DI尋址 負(fù)數(shù)的目的區(qū)用BX尋址 循環(huán)次數(shù) CX 傳送過程 用LODS指令把源數(shù)據(jù)取入 AL 中 檢查其符號(hào) 確定正 負(fù) 若為正數(shù)用STOS指令送至正數(shù)緩沖區(qū) 若是負(fù)數(shù) 把 DI 與 BX 交換 仍使用STOS傳送 傳送完后再將 DI 與 BX 交換 恢復(fù)原值 程序 START MOVSI OFFSETBLOCKMOVDI OFFSETPLUS DATA 正數(shù)緩沖區(qū)MOVBX OFFSETMINUS DATA 負(fù)數(shù)緩沖區(qū)MOVCX COUNTCLDGOON LODSBTESTAL 80HJNZMINS 若負(fù)數(shù)轉(zhuǎn)MINSSTOSB 正數(shù) DI AL DI DI 1JMPAGAINMINS XCHGBX DISTOSB 負(fù)數(shù) DI AL DI DI 1XCHGBX DIAGAIN DECCXJNZGOONHLT 4I O串操作指令 In OutString INSB OUTSB INSW OUTSW INSD OUTSD INSB INSW INSD從一個(gè)輸入端口讀一串?dāng)?shù)據(jù)送到有EDI或DI指出的連續(xù)存儲(chǔ)單元 OUTSB OUTSW OUTSD從ESI或SI指出的連續(xù)存儲(chǔ)單元輸出一串?dāng)?shù)據(jù)到輸出端口 例 INSW 例 OUTSD 二 與REPE REPZ和REPNE REPNZ聯(lián)合工作的CMPS和SCAS指令REPE REPZ當(dāng)相等 為零時(shí)重復(fù)串操作格式 REPE 或REPZ stringprimitive當(dāng)相等重復(fù)前綴REPZStringprimitive可為 CMPS SCAS1 CMPS Comparestring 串比較指令2 SCAS Scanstring 串掃描指令 搜索指令 REPNE REPNZ當(dāng)不相等 不為零時(shí)重復(fù)串操作格式 REPNE 或REPNZ stringprimitive當(dāng)不相等重復(fù)前綴REPNZstringprimitive可為 CMPS SCAS1 CMPS Comparestring 串比較指令2 SCAS Scanstring 串掃描指令 搜索指令 注意 兩數(shù)相減 只影響標(biāo)志 不影響操作數(shù) 其它操作規(guī)定與MOVS同 1 CMPS Comparestring 串比較指令 SI 作為源串地址 DI 目的串地址 串比較指令有3種格式 1 CMPSB 字節(jié) SI SI 1 DI DI 1 2 CMPSW 字 SI SI 2 DI DI 2 3 CMPSD 雙字 SI SI 4 DI DI 4 執(zhí)行操作 ES DI DS SI 結(jié)果不存 置標(biāo)志 字節(jié)操作 SI SI 1 DI DI 1字操作 SI SI 2 DI DI 2雙字操作 SI SI 4 DI DI 4 MOVSI OFFSETSTRING1MOVDI OFFSETSTRING2MOVCX COUNTCLDREPZCMPSBJNZUNMAT 若串不同 在RESUL單元中置0FFHMOVAL 0 若串相等 在RESULT單元中置00HJMPOUTPTUNMAT MOVAL 0FFHOUTPT MOVRESULT ALHLT REPZCMPSB指令應(yīng)用 例 利用CMPS指令對(duì)STRING1和STRING2二個(gè)字符串進(jìn)行比較 相同在RESULT單元送00H 不同送0FFH 串掃描指令有3種格式 格式 SCASSCASB 字節(jié) AL ES DI DI DI 1SCASW 字 AX ES DI DI DI 2SCASD 雙字 AX ES DI DI DI 4 2 SCAS Scanstring 串掃描指令 搜索指令 DI 字符串起始地址 AL 或 AX 關(guān)鍵字 注意 AL 或 AX 目的串兩數(shù)相減 只影響標(biāo)志 不影響操作數(shù) 其它特性與MOVS同 MOVDI OFFSETBLOCK 設(shè)置數(shù)據(jù)塊地址指針MOVCX COUNT 設(shè)置數(shù)據(jù)塊長(zhǎng)度MOVAL CHAR 關(guān)鍵字送入AL或AXREPNESCASB CX 0 ZF 0繼續(xù)SCASBJZFOUNDMOVDI 0JMPDONEFOUND DECDIMOVPOINTR DIMOVBX OFFSETBLOCKSUBBX DIMOVDI BX 把搜索次數(shù)記下來 且記錄關(guān)鍵字地址DONE HLT REPNESCASB指令應(yīng)用 例 要搜索的關(guān)鍵字放在 AL 或 AX 中 搜索某一數(shù)據(jù)塊或字符串中有無關(guān)鍵字 若有 把搜索次數(shù)記下來 且記錄關(guān)鍵字地址 若次數(shù)為0 表示沒有要搜索的關(guān)鍵字 LODB STOSB應(yīng)用舉例 例 一個(gè)數(shù)據(jù)塊由大小寫英文字母 數(shù)字 其他符號(hào)組成 用CR ASCII碼0DH 結(jié)束 數(shù)據(jù)塊首地址為L(zhǎng)OCK1 將其傳送到BLOCK2為首地址的內(nèi)存區(qū) 并將英文中小寫英文字母 a z 轉(zhuǎn)換成大寫英文字母 A Z 其余不變 分析 大小寫英文字母中相應(yīng)ASCII碼的關(guān)系 a z 相應(yīng)ASCII碼為 61H 7AH A Z 相應(yīng)ASCII碼為 41H 5AH英文中小寫英文字母 a z 轉(zhuǎn)換成大寫英文字母 A Z 只要將小寫的ASCII碼減去20H即可 程序和流程圖如下 程序 LEASI BLOCK1LEADI BLOCK2CLDNEXT LODSBCMPAL 0DHJZDONECMPAL 61HJCOKCMPAL 7BHJNCOKSUBAL 20HOK STOSBJMPNEXTDONE HLT 流程圖 小結(jié) 串操作指令重復(fù)前綴 操作數(shù) 地址指針

注意事項(xiàng)

本文(pentium指令系統(tǒng)之邏輯運(yùn)算和移位指令.ppt)為本站會(huì)員(sh****n)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!