歡迎來(lái)到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁(yè) 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

搶答器 單片機(jī)課程設(shè)計(jì)

  • 資源ID:33025174       資源大?。?span id="uneho5r" class="font-tahoma">1.37MB        全文頁(yè)數(shù):24頁(yè)
  • 資源格式: DOC        下載積分:15積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要15積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁(yè)到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無(wú)水印,預(yù)覽文檔經(jīng)過(guò)壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒(méi)有明確說(shuō)明有答案則都視為沒(méi)有答案,請(qǐng)知曉。

搶答器 單片機(jī)課程設(shè)計(jì)

課 程 設(shè) 計(jì) 說(shuō) 明 書課程名稱: 單片機(jī)技術(shù) 設(shè)計(jì)題目: 搶答器 院 系: 電子信息與電氣工程學(xué)院 學(xué)生姓名: 學(xué) 號(hào): 專業(yè)班級(jí): 電子信息工程2011級(jí) 指導(dǎo)教師: 2012年 5月 18 日2課 程 設(shè) 計(jì) 任 務(wù) 書設(shè)計(jì)題目搶答器設(shè)計(jì)學(xué)生姓名所在院系電子信息與電氣工程學(xué)院專業(yè)、年級(jí)、班電子信息工程11級(jí)設(shè)計(jì)要求:設(shè)計(jì)一個(gè)可用于表決選擇的選擇器。1、 具有電源開關(guān)及指示燈,具有復(fù)位按鍵;2、 用一位數(shù)碼管顯示搶答選手,用八個(gè)LED燈作為選手搶答指示燈;3、 利用查詢方式擴(kuò)展8個(gè)外部中斷源,由74LS09組成優(yōu)先權(quán)選擇電路,作為8個(gè)選手按鍵;4、 P3.3接開關(guān)K10,做主持人按鍵。在任何一位選手在主持人沒(méi)有按下按鍵的情況下?lián)尨?,沒(méi)有任何反應(yīng);5、 若有人搶答成功,蜂鳴器響一聲;6、 如果多為選手同時(shí)按下按鍵,則按位置優(yōu)先原則,即7號(hào)位置優(yōu)先級(jí)最高,0號(hào)位置優(yōu)先級(jí)最低。學(xué)生應(yīng)完成的工作:1.硬件電路設(shè)計(jì)以及程序的編寫;2.軟硬件結(jié)合仿真;3.實(shí)物制作并調(diào)試;4.課程設(shè)計(jì)說(shuō)明書的書寫;5.我主要負(fù)責(zé)硬件的設(shè)計(jì)。參考文獻(xiàn)閱讀:1 張偉. 單片機(jī)原理及應(yīng)用M.北京:機(jī)械工業(yè)出版社.2005.2 薛棟梁. 單片機(jī)原理及應(yīng)用M.北京:中國(guó)水利水電出版社.2001.3 高吉祥. 電子技術(shù)基礎(chǔ)實(shí)驗(yàn)與課程設(shè)計(jì)M.北京:電子工業(yè)出版社.2002.4 劉振鵬. 單片微機(jī)控制應(yīng)用技術(shù)實(shí)操指導(dǎo)書M.北京:機(jī)械工業(yè)出版社.2007.5 王春明. 微機(jī)原理及應(yīng)用M.北京:高等教育出版社.2004.工作計(jì)劃:5.4課程設(shè)計(jì)任務(wù)書下達(dá) 5.5結(jié)合指導(dǎo)老師,完成硬件電路的設(shè)計(jì) 5.6程序編寫5.7進(jìn)行軟硬件聯(lián)機(jī)調(diào)試 5.8-5.9課程設(shè)計(jì)說(shuō)明書的書寫 5.10領(lǐng)元器件5.11焊接電路板 5.12-5.13程序的燒寫和電路板調(diào)試 5.14交課程設(shè)計(jì)說(shuō)明書和焊接電路板任務(wù)下達(dá)日期:2012 年5月 7 日 任務(wù)完成日期:2012 年5月 18 日指導(dǎo)教師(簽名): 學(xué)生(簽名): 搶答器摘 要:在知識(shí)競(jìng)賽中,特別是做搶答題時(shí),在搶答過(guò)程中,為了更確切的知道哪一組或哪一位選手先搶答到題,必須要有一個(gè)系統(tǒng)來(lái)完成這個(gè)任務(wù)。若在搶答中,只靠人的視覺(jué)是很難判斷出哪一組先搶答到題的。利用單片機(jī)編程來(lái)設(shè)搶答器,可以使以上問(wèn)題得以解決。本設(shè)計(jì)是利用AT89S52單片機(jī)及外圍接口實(shí)現(xiàn)的搶答系統(tǒng),將軟、硬件有機(jī)地結(jié)合起來(lái)。硬件由電源模塊把交流變成+5v的直流,通過(guò)復(fù)位模塊實(shí)現(xiàn)搶答器的重置,晶振模塊提供時(shí)鐘信號(hào),揚(yáng)聲器模塊進(jìn)行聲音的放大,led燈和數(shù)碼管確定哪位先選手搶到,用了匯編語(yǔ)言編寫了程序,protues軟件進(jìn)行仿真,Q編譯器進(jìn)行了程序的調(diào)制與編譯。具備可靠性好,易擴(kuò)充,電路簡(jiǎn)單、成本較低、操作方便等優(yōu)點(diǎn)。關(guān)鍵詞:搶答器;報(bào)警;單片機(jī);74LS09或門 目 錄1. 設(shè)計(jì)背景12.設(shè)計(jì)方案22.1 硬件設(shè)計(jì)方案22.2軟件設(shè)計(jì)方案23. 方案實(shí)施33.1硬件方案實(shí)施33.2軟件方案實(shí)施74. 結(jié)果與結(jié)論94.1 總體過(guò)程分析94.2 總體結(jié)論95. 收獲與致謝106. 參考文獻(xiàn)117. 附件127.1元器件清單127.2 硬件原理圖137.3 仿真圖147.4 實(shí)物圖147.5系統(tǒng)程序清單151. 設(shè)計(jì)背景 在知識(shí)競(jìng)賽中,特別是做搶答題時(shí),在搶答過(guò)程中,為了更確切的知道哪一組或哪一位選手先搶答到題,必須要有一個(gè)系統(tǒng)來(lái)完成這個(gè)任務(wù)。若在搶答中,只靠人的視覺(jué)是很難判斷出哪一組先搶答到題的。利用單片機(jī)編程來(lái)設(shè)搶答器,可以使以上問(wèn)題得以解決,即使兩組的搶答時(shí)間相差幾微秒,也能輕松的分辨出哪一組先搶答到題的。它的功能實(shí)現(xiàn)是有主持人按鍵來(lái)控制總開關(guān),選手們?nèi)粲幸粋€(gè)在規(guī)定的時(shí)間內(nèi)搶答成功則其余的選手不可以再搶答,在數(shù)碼管顯示哪位選手搶答。本文主要介紹了搶答器的工作原理及設(shè)計(jì),以及它的實(shí)際用途。 本系統(tǒng)采用單片機(jī)作為整個(gè)控制核心??刂葡到y(tǒng)的三個(gè)模塊為:復(fù)位模塊、顯示模塊、電源模塊、搶答開關(guān)模塊、時(shí)鐘模塊。該系統(tǒng)通過(guò)開關(guān)電路按鍵輸入搶答信號(hào),利用一個(gè)數(shù)碼管來(lái)完成顯示功能;用按鍵來(lái)讓選手進(jìn)行搶答,在數(shù)碼管上顯示哪一位選手先答題的,從而實(shí)現(xiàn)整個(gè)搶答過(guò)程。隨著我國(guó)經(jīng)濟(jì)和文化事業(yè)的發(fā)展,在很多公開競(jìng)爭(zhēng)場(chǎng)合要求有公正的競(jìng)爭(zhēng)裁決,諸如證券、股票交易及各種智力競(jìng)賽等。其主要應(yīng)用于各種知識(shí)競(jìng)賽、文藝活動(dòng)等2.設(shè)計(jì)方案2.1 硬件設(shè)計(jì)方案方案一:比賽活動(dòng)中,為了更準(zhǔn)確、公正、直觀地判斷出第一個(gè)搶答者,通常設(shè)置一個(gè)搶答器,通過(guò)數(shù)字顯示,燈光及音響等多種手段顯示第一搶答者,傳統(tǒng)的數(shù)電控制電路可靠性雖好,但不能顯示臺(tái)幾號(hào)給主持人帶來(lái)一定的麻煩,而模擬電子控制電路可靠性較差。線路復(fù)雜,可靠性不高,功能也比較簡(jiǎn)單,特別是當(dāng)搶答路數(shù)很多時(shí),實(shí)現(xiàn)起來(lái)就更為困難。方案二:核芯是一片AT89S52利用單片機(jī)可以用很少元件實(shí)現(xiàn)相同功能,而且單片機(jī)性能操作性強(qiáng)??梢灾挥肞0口連接上拉電阻,完成驅(qū)動(dòng)LED的功能,串接按鍵可以由選手自己控制搶答機(jī)會(huì)。比較兩者,模擬電子控制電路復(fù)雜,可靠性不高。而利用單片機(jī)可靠性好,易擴(kuò)充,電路簡(jiǎn)單,成本較低,操作方便。通過(guò)以上分析,采用方案二。2.2軟件設(shè)計(jì)方案方案一:采用靜態(tài)顯示,是指當(dāng)數(shù)碼管顯示某一字符時(shí),七段數(shù)碼管的對(duì)應(yīng)段的發(fā)光二極管被選中,在這種顯示方式下,每段LED都對(duì)應(yīng)一個(gè)單片機(jī)口線。方案二:采用動(dòng)態(tài)顯示,對(duì)于多位數(shù)碼管顯示時(shí),每一位數(shù)碼管輪流顯示,當(dāng)顯示間隔時(shí)間較短時(shí)人眼就分辨不出是動(dòng)態(tài)顯示,而是每一位都是一直都在顯示。比較兩者,靜態(tài)顯示主要的優(yōu)點(diǎn)是顯示穩(wěn)定,在需要更新顯示內(nèi)容時(shí),CPU才去執(zhí)行顯示更新子程序,這樣既節(jié)約了CPU的時(shí)間,又提高了CPU的工作效率。其不足之處是在需要用于顯示的數(shù)碼管較多時(shí)占用硬件資源較多,每個(gè)LED數(shù)碼管需要獨(dú)占8條輸出線。隨著顯示器位數(shù)的增加,需要的I/O口線也將增加。動(dòng)態(tài)顯示器的優(yōu)點(diǎn)是節(jié)省硬件資源,成本較低,但是要保證顯示器正常顯示,CPU必需每隔一段時(shí)間執(zhí)行一次顯示子程序,占用CPU大量時(shí)間,降低了CPU的工作效率,同時(shí)顯示亮度較靜態(tài)顯示器低。通過(guò)以上分析,結(jié)合本課題只提供一位數(shù)碼管,所以采用方案一。3. 方案實(shí)施3.1硬件方案實(shí)施(1)硬件電路框圖 本系統(tǒng)采用AT89S52單片機(jī)作為控制核心,數(shù)碼管、蜂鳴器等構(gòu)成八路搶答器,利用了單片機(jī)的按鍵復(fù)位電路、定時(shí)/中斷等電路,設(shè)計(jì)的搶答器具有實(shí)時(shí)顯示搶答選手的號(hào)碼的特點(diǎn),還有復(fù)位電路,使其再開始新的一輪的答題和比賽,同時(shí)還利用匯編語(yǔ)言編程,使其實(shí)現(xiàn)一些基本的功能。系統(tǒng)框圖如下圖所示。復(fù)位電路時(shí)鐘電路LED顯示電路蜂鳴器電路數(shù)碼管顯示電路電源電路 AT89S52單片機(jī)圖3.1 系統(tǒng)硬件圖(2)AT89S52電路AT89S52為 ATMEL 所生產(chǎn)的一種低功耗、高性能CMOS8位微控制器,具有8K在系統(tǒng)可編程Flsah存儲(chǔ)器。AT89S52主要功能列舉如下:1、擁有靈巧的8位CPU和在系統(tǒng)可編程Flash2、晶片內(nèi)部具時(shí)鐘振蕩器(傳統(tǒng)最高工作頻率可至 12MHz)3、內(nèi)部程序存儲(chǔ)器(ROM)為 8KB4、內(nèi)部數(shù)據(jù)存儲(chǔ)器(RAM)為 256字節(jié)5、32 個(gè)可編程I/O 口線6、8 個(gè)中斷向量源7、三個(gè) 16 位定時(shí)器/計(jì)數(shù)器8、三級(jí)加密程序存儲(chǔ)器9、全雙工UART串行通道圖3.2單片機(jī)電路圖(3)復(fù)位電路由電容串聯(lián)電阻構(gòu)成,由圖并結(jié)合“電容電壓不能突變”的性質(zhì),可以知道,當(dāng)系統(tǒng)一上電,RST腳將會(huì)出現(xiàn)高電平,并且,這個(gè)高電平持續(xù)的時(shí)間由電路的RC值來(lái)決定.典型的52單片機(jī)當(dāng)RST腳的高電平持續(xù)兩個(gè)機(jī)器周期以上就將復(fù)位,所以,適當(dāng)組合RC的取值就可以保證可靠的復(fù)位。 復(fù)位輸入高電平有效,當(dāng)振蕩器工作時(shí),RST引腳出現(xiàn)兩個(gè)機(jī)器周期以上的高電平,使單片機(jī)復(fù)位。此電路除具有上電復(fù)位功能外,若要復(fù)位只需按“RST”鍵,此電源Vcc經(jīng)電阻分壓,在RST端產(chǎn)生一個(gè)復(fù)位高電平。圖3.3復(fù)位電路圖(4)時(shí)鐘震蕩電路 時(shí)鐘震蕩電路單片機(jī)必須在AT89C51的驅(qū)動(dòng)下才能工作,在單片機(jī)內(nèi)部有一個(gè)時(shí)鐘震蕩電路,只需要外接一個(gè)振蕩器就能產(chǎn)生一定的時(shí)鐘信號(hào)送到單片機(jī)內(nèi)部的各個(gè)單元,時(shí)鐘震蕩電路 圖3.4時(shí)鐘振蕩電路圖(5) 數(shù)碼管顯示電路 LED顯示器,實(shí)現(xiàn)八段數(shù)碼管的顯示數(shù)字。從電路上,按數(shù)碼管的接法不同又分為共陰和共陽(yáng)兩種。它們的發(fā)光原理是一樣的,只是它們的電源極性不同而已。 圖3.5共陰極、共陽(yáng)極數(shù)碼管圖(6)電源電路電源電路由橋堆2w10和7805構(gòu)成。電源電路主要用用于為整個(gè)系統(tǒng)提供電源。我們?nèi)粘S秒姸际?20V的交流電,所以在設(shè)計(jì)電源時(shí)要先把交流電整成直流,然后再經(jīng)過(guò)穩(wěn)壓管輸出整個(gè)系統(tǒng)所需的穩(wěn)定的直流電壓。整流主要采用2W10橋堆和電容濾波以得到基本穩(wěn)定的輸出電壓。穩(wěn)壓部分是采用常用的穩(wěn)壓管W7805得到穩(wěn)定的輸出正5V電壓。如圖5所示:圖3.6電源電路圖(7)報(bào)警電路設(shè)計(jì) 報(bào)警電路用于報(bào)警,當(dāng)遇到報(bào)警信號(hào)時(shí),發(fā)出警報(bào)。一般喇叭是一種電感性圖3.6報(bào)警電路圖。因此,最簡(jiǎn)單的喇叭驅(qū)動(dòng)方式就是利用晶體管,在下圖中電阻R為限流電阻,在此利用晶體管的高電流增益,以達(dá)到電路快速飽和的目的。不過(guò),如果要由P2輸出到此電路,還需要連接一個(gè)10K的上拉電阻。 選手在設(shè)定的時(shí)間內(nèi)搶答時(shí),實(shí)現(xiàn)了優(yōu)先判斷、編號(hào)鎖存、編號(hào)顯示、揚(yáng)聲器提示。當(dāng)一輪搶答之后,定時(shí)器停止、禁止二次搶答。如果再次搶答必須由主持人再次作"清除"和"開始"狀態(tài)開關(guān)。 圖3.7 蜂鳴器電路圖3.2軟件方案實(shí)施初始化開始是否有外部中斷?中斷初始化外部中斷1子程序外部中斷0子程序結(jié)束是否有外部中斷1?是否有外部中斷1?為了能夠達(dá)到搶答的公平、公正、合理,應(yīng)該主持人發(fā)布搶答命令按下SRST2按鍵,程序開始打開定時(shí)中斷開始倒計(jì)時(shí),然后調(diào)用鍵盤掃描子程序,編寫鍵盤掃描程序。當(dāng)在掃描到有人按下了答題鍵,馬上關(guān)閉T0、調(diào)用顯示程序、封鎖鍵盤。 圖3.8 主程序流程圖按鍵掃描程序流程圖開外部中斷1有搶答?P1.7低電平?P1.6低電平?鍵盤消抖數(shù)碼管顯示8、LED閃爍、蜂鳴器響一聲P1.5低電平?P1.4低電平?P1.3低電平?P1.2低電平?P1.1低電平?有中斷1?返回?cái)?shù)碼管顯示7、LED閃爍、蜂鳴器響一聲數(shù)碼管顯示6、LED閃爍、蜂鳴器響一聲數(shù)碼管顯示5、LED閃爍、蜂鳴器響一聲數(shù)碼管顯示4、LED閃爍、蜂鳴器響一聲數(shù)碼管顯示3、LED閃爍、蜂鳴器響一聲數(shù)碼管顯示2、LED閃爍、蜂鳴器響一聲數(shù)碼管顯示1、LED閃爍、蜂鳴器響一聲中斷1處理程序圖3.9外部中斷0處理程序圖4. 結(jié)果與結(jié)論4.1 總體過(guò)程分析總結(jié)以下要點(diǎn):在設(shè)計(jì)程序之前,設(shè)計(jì)者必學(xué)對(duì)所用單片機(jī)的內(nèi)部結(jié)構(gòu)有一個(gè)系統(tǒng)的了解,知道該單片 機(jī)內(nèi)有哪些資源;要有一個(gè)清晰的思路和一個(gè)完整的的軟件流程圖;在設(shè)計(jì)程序時(shí),不能妄想一次就將整個(gè)程序設(shè)計(jì)好,反復(fù)修改、不斷改進(jìn)是程序設(shè)計(jì)的必經(jīng)之路;要養(yǎng)成注釋程序的好習(xí)慣,一個(gè)程序的完美與否不僅僅是實(shí)現(xiàn)功能,而應(yīng)該讓人一看就能明白你的思路,這樣也為資料的保存和交流提供了方便;在設(shè)計(jì)課程過(guò)程中遇到問(wèn)題是很正常的,但我們應(yīng)該將每次遇到的問(wèn)題記錄下來(lái),并分析清楚,以免下次再碰到同樣的問(wèn)題。4.2 總體結(jié)論通過(guò)此次的課程設(shè)計(jì),讓我更進(jìn)一步的鞏固了單片機(jī)的各種知識(shí)。此次的課程設(shè)計(jì)樹立了對(duì)自己工作能力的信心,相信會(huì)對(duì)今后的學(xué)習(xí)工作生活都有非常重要的影響,而且大大的提高了動(dòng)手能力,使我充分的體會(huì)到了創(chuàng)造過(guò)程中的探索的艱難和成功的喜悅。雖然這次做出的作品還存在很多需要改進(jìn)的地方,比如說(shuō)蜂鳴器不響。但是在整個(gè)設(shè)計(jì)過(guò)程中所學(xué)習(xí)到的東西是這次實(shí)訓(xùn)所得到的最大收獲跟財(cái)富,使我終身受益。,我真誠(chéng)的接受老師和同學(xué)的批評(píng)和指正。本搶答器具有以下優(yōu)點(diǎn):可靠性好,易擴(kuò)充,電路簡(jiǎn)單、成本較低、操作方便。5. 收獲與致謝通過(guò)此次的課程設(shè)計(jì),讓我更進(jìn)一步的鞏固了單片機(jī)的各種知識(shí)。但在設(shè)計(jì)的過(guò)程中,遇到了很多的問(wèn)題,有一些知識(shí)已經(jīng)不太清楚了,但是通過(guò)一些資料又重新的翻閱并且認(rèn)真的復(fù)習(xí)了相關(guān)教科書中的內(nèi)容。此次的課程設(shè)計(jì)樹立了對(duì)自己工作能力的信心,相信會(huì)對(duì)今后的學(xué)習(xí)工作生活都有非常重要的影響,而且大大的提高了動(dòng)手能力,使我充分的體會(huì)到了創(chuàng)造過(guò)程中的探索的艱難和成功的喜悅。雖然這次做出的作品還存在很多需要改進(jìn)的地方,但是在整個(gè)設(shè)計(jì)過(guò)程中所學(xué)習(xí)到的東西是這次實(shí)訓(xùn)所得到的最大收獲跟財(cái)富,使我終身受益。這兩個(gè)周的單片機(jī)課程設(shè)計(jì)實(shí)習(xí)很快要結(jié)束了,在這個(gè)過(guò)程中我深刻的認(rèn)識(shí)到了自己的不足,也獲得了很多難得的經(jīng)驗(yàn)和知識(shí)。在本課程設(shè)計(jì)即將完成之際,我要對(duì)所有支持和幫助我的老師和同學(xué)表示衷心的感謝。雖然文章中有很多不足,但是在學(xué)習(xí)的過(guò)程中苦中帶甜,而且鞏固了很多軟件學(xué)習(xí)。這次學(xué)習(xí)給我留下了深刻的印象,使我受益匪淺。而且對(duì)于論文的總體構(gòu)思也有了很多經(jīng)驗(yàn),相信自己經(jīng)過(guò)這一次的訓(xùn)練與學(xué)習(xí),對(duì)于今后會(huì)更加努力,做得最好。首先我要感謝我們的指導(dǎo)老師。宋老師雖身負(fù)教學(xué)、畢業(yè)設(shè)計(jì)重任,仍抽出時(shí)間,不時(shí)召集。她的平易近人和認(rèn)真負(fù)責(zé)的態(tài)度讓我深受感動(dòng),她也給了我們精確地的指導(dǎo),讓我學(xué)到了很多知識(shí),其次要感謝我們的單片機(jī)課程老師丁老師,在相關(guān)知識(shí)的積累和應(yīng)用上給了我們極大的幫助。其次我要感謝我的室友,雖然經(jīng)過(guò)無(wú)數(shù)次的爭(zhēng)論,但是最終還是得到了統(tǒng)一的結(jié)果。這些都是大家的功勞。謝謝老師,謝謝幫助我的人。這篇論文更傾注了她的大量心血。從初稿到定稿,宋老師不厭其煩,一審再審,大到篇章布局的偏頗,小到語(yǔ)句格式的瑕疵,以指出。同時(shí),我要感謝傳播與藝術(shù)學(xué)院所有給我上過(guò)課老師,是他們傳授給我方方面面的知識(shí),拓了我的知識(shí)面,培養(yǎng)了我的功底,對(duì)論文的完成不無(wú)裨益。我還要感謝學(xué)院的各位工作人員。他們細(xì)致的工作使我和同學(xué)們的學(xué)習(xí)和生活井然有序。 6. 參考文獻(xiàn) 1 張偉. 單片機(jī)原理及應(yīng)用M.北京:機(jī)械工業(yè)出版社.2005. 2 薛棟梁. 單片機(jī)原理及應(yīng)用M.北京:中國(guó)水利水電出版社.2001. 3 高吉祥. 電子技術(shù)基礎(chǔ)實(shí)驗(yàn)與課程設(shè)計(jì)M.北京:電子工業(yè)出版社.2002. 4 劉振鵬. 單片微機(jī)控制應(yīng)用技術(shù)實(shí)操指導(dǎo)書M.北京:機(jī)械工業(yè)出版社.2007. 5 王春明. 微機(jī)原理及應(yīng)用M.北京:高等教育出版社.2004.7. 附件7.1元器件清單器件名型號(hào)與規(guī)格數(shù)量單片機(jī)STC89S521晶振12MHz1瓷片電容20pF和0.33uF,0.1uF各2電解電容10uF和1000uF,220uF各1二極管1N40011LED指示燈9數(shù)碼管共陽(yáng)極數(shù)碼管1位1三極管9013174LS092電阻4.7K8電阻2208電阻10K2電阻1K2電阻4708三端穩(wěn)壓器78051蜂鳴器1橋堆2W101插座40腳IC插座1開關(guān)撥動(dòng)開關(guān)1開關(guān)按鍵10萬(wàn)用板17.2 硬件原理圖圖7.1 硬件原理圖7.3 仿真圖圖7.2 硬件仿真圖7.4 實(shí)物圖圖7.3實(shí)物圖7.5系統(tǒng)程序清單 ORG 0000H LJMP MAIN ORG 0003H LJMP IN0 ORG 0013H LJMP IN1 ORG 1000HMAIN:MOV SP,#60H LCALL ZDISP MOV A,#7FH MOV P1,A MOV A,#0FFH MOV P2,A CLR EX0 SETB EX1 SETB IT1 SETB PT1 SETB EA SJMP $;*主持人按鍵* IN1:SETB EX0 SETB IT0 SETB EA SETB PT1 MOV A,#40H MOV P1,A SETB P1.7 LCALL DELAY1S CLR P1.7 RETI;*搶答程序* IN0:SETB EX1 SETB IT1 SETB PT1 SETB EA CLR EX0 CLR IT0 MOV A,P0 LCALL DELAY CJNE A,P0,FINISH LCALL CLZFINISH:RETI;*按鍵掃描程序*CLZ:JB P0.7,K6 MOV A,#80H MOV P1,A MOV R4,#5LP7:CLR P2.7 LCALL DELAY1S SETB P2.7 LCALL DELAY1S DJNZ R4,LP7 AJMP INTR K6:JB P0.6,K5 MOV A,#0F8H MOV P1,A MOV R4,#5 LP6:CLR P2.6 LCALL DELAY1S SETB P2.6 LCALL DELAY1S DJNZ R4,LP6 AJMP INTR K5:JB P0.5,K4 MOV A,#82H MOV P1,A MOV R4,#5 LP5:CLR P2.5 LCALL DELAY1S SETB P2.5 LCALL DELAY1S DJNZ R4,LP5 AJMP INTR K4:JB P0.4,K3 MOV A,#92H MOV P1,A MOV R4,#5 LP4:CLR P2.4 LCALL DELAY1S SETB P2.4 LCALL DELAY1S DJNZ R4,LP4 AJMP INTR K3:JB P0.3,K2 MOV A,#99H MOV P1,A MOV R4,#5 LP3:CLR P2.3 LCALL DELAY1S SETB P2.3 LCALL DELAY1S DJNZ R4,LP3 AJMP INTR K2:JB P0.2,K1 MOV A,#0B0H MOV P1,A MOV R4,#5 LP2:CLR P2.2 LCALL DELAY1S SETB P2.2 LCALL DELAY1S DJNZ R4,LP2 AJMP INTR K1:JB P0.1,KEY MOV A,#0A4H MOV P1,A MOV R4,#5 LP1:CLR P2.1 LCALL DELAY1S SETB P2.1 LCALL DELAY1S DJNZ R4,LP1 AJMP INTR KEY:MOV A,#0F9H MOV P1,A MOV R4,#5 LP0:CLR P2.0 LCALL DELAY1S SETB P2.0 LCALL DELAY1S DJNZ R4,LP0 INTR:RET;*鍵盤消抖延時(shí)程序*DELAY: MOV R2,#40 LOP0: MOV R3,#125LOP1: DJNZ R3,LOP1 DJNZ R2,LOP0 RET;*0.5S延時(shí)*DELAY1S:MOV R7,#20 LOOP3:MOV R6,#100 LOOP2:MOV R5,#250LOOP1:DJNZ R5,LOOP1 DJNZ R6,LOOP2DJNZ R7,LOOP3RET;*自檢*ZDISP:MOV A,#80H MOV P1,A MOV A,#00H MOV P2,A LCALL DELAY1S RET END指導(dǎo)教師評(píng)語(yǔ):課程設(shè)計(jì)報(bào)告成績(jī): ,占總成績(jī)比例: 30% 課程設(shè)計(jì)其它環(huán)節(jié)成績(jī):環(huán)節(jié)名稱: 考勤 ,成績(jī): ,占總成績(jī)比例: 20% 環(huán)節(jié)名稱: 綜合 ,成績(jī): ,占總成績(jī)比例: 50% 總 成 績(jī): 指導(dǎo)教師簽字:年 月 日本次課程設(shè)計(jì)負(fù)責(zé)人意見:負(fù)責(zé)人簽字:年 月 日20

注意事項(xiàng)

本文(搶答器 單片機(jī)課程設(shè)計(jì))為本站會(huì)員(仙***)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!