歡迎來(lái)到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁(yè) 裝配圖網(wǎng) > 資源分類(lèi) > DOC文檔下載  

【畢業(yè)論文設(shè)計(jì)】基于DSP控制的雙閉環(huán)直流調(diào)速系統(tǒng)設(shè)計(jì)

  • 資源ID:29760665       資源大?。?span id="upq1vwt" class="font-tahoma">1.91MB        全文頁(yè)數(shù):61頁(yè)
  • 資源格式: DOC        下載積分:15積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開(kāi)放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要15積分
郵箱/手機(jī):
溫馨提示:
用戶(hù)名和密碼都是您填寫(xiě)的郵箱或者手機(jī)號(hào),方便查詢(xún)和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開(kāi),此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁(yè)到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無(wú)水印,預(yù)覽文檔經(jīng)過(guò)壓縮,下載后原文更清晰。
5、試題試卷類(lèi)文檔,如果標(biāo)題沒(méi)有明確說(shuō)明有答案則都視為沒(méi)有答案,請(qǐng)知曉。

【畢業(yè)論文設(shè)計(jì)】基于DSP控制的雙閉環(huán)直流調(diào)速系統(tǒng)設(shè)計(jì)

基于DSP控制的雙閉環(huán)直流調(diào)速系統(tǒng)設(shè)計(jì)摘 要本文介紹的是一種基于DSP TMS320LF2407A 芯片的雙極性可逆PWM直流調(diào)速系統(tǒng)數(shù)字控制的設(shè)計(jì)和基于Matlab的仿真設(shè)計(jì)。選用三相橋式不可整流電路供電。選用H型雙極可逆PWM驅(qū)動(dòng)系統(tǒng)對(duì)電機(jī)進(jìn)行控制,在一個(gè)PWM周期內(nèi),電動(dòng)機(jī)電樞的電壓極性呈正負(fù)變化。驅(qū)動(dòng)電路采用M57215BL芯片,通過(guò)DSP的PWM輸出引腳PWM1-PWM4輸出的控制信號(hào)進(jìn)行控制。用霍爾電流傳感器檢測(cè)電流變化,并通過(guò)ADCIN00引腳輸入給DSP,經(jīng)A/D轉(zhuǎn)換產(chǎn)生電流反饋信號(hào)。采用增量式光電編碼器監(jiān)測(cè)電動(dòng)機(jī)的速度變化,經(jīng)QEP1和QEP2腳輸入給DSP,獲得速度反饋信號(hào)。通過(guò)PDPINIA引腳對(duì)電動(dòng)機(jī)提供過(guò)電壓和過(guò)電流保護(hù)。運(yùn)用MATLAB對(duì)設(shè)計(jì)好的系統(tǒng)進(jìn)行仿真,雙閉環(huán)調(diào)速 系統(tǒng)的特征是系統(tǒng)的電流和轉(zhuǎn)速分別由兩個(gè)調(diào)節(jié)器控制。速度調(diào)節(jié)器ASR和電流調(diào)節(jié)器ACR均設(shè)有限幅電路,ASR的輸出作為ACR的給定,利用ASR的輸出限幅起限制啟動(dòng)電流的作用;ACR的輸出作為觸發(fā)器的移相控制電壓。系統(tǒng)的建模包括主電路的建模和控制電路的建模。建模完成后即可進(jìn)行仿真。關(guān)鍵詞:DSP TMS320LF2407A 芯片;PWM;雙閉環(huán)直流調(diào)速系統(tǒng);數(shù)字控制;Matlab;simulinkPWM DC motor speed digital control system design and simulationAbstractThis article is based on DSP TMS320LF2407A reversible chip bipolar digital control of PWM DC drive system design and simulation of Matlab-based design. Can not use three-phase bridge rectifier circuits. Use H-type bipolar reversible PWM control of the motor drive system in a PWM cycle, the motor armature voltage polarity was positive and negative changes. M57215BL chip driver circuit, through the DSPs PWM output pins PWM1-PWM4 output control signals. Hall current sensor with current changes, and through ADCIN00 pin to the DSP, by the A / D conversion produces the current feedback signal. An incremental optical encoder to monitor the motor speed changes, the QEP1 and QEP2 pin input to the DSP, to obtain the speed feedback signal. By PDPINIA pin provided on the motor voltage and over current protection. Good system design using MATLAB simulation, the characteristics of dual-loop speed control system is the systems current and speed controlled by two regulators. ASR speed regulator and current regulator are equipped with a limited increase circuit ACR, ASRs output as given ACR, the use of ASRs output from the restrictions limiting the role of starting current; ACR output as the trigger phase control voltage. System model including the main circuit modeling and control circuit modeling. After the completion of the simulation modeling.Keywords: TMS329LF2407A chip;PWM;double closed-loop DC-drive speed system;Digital control;Matlab;Simulink目 錄第一章緒論11.1論文選題背景及研究意義11.2國(guó)內(nèi)外研究現(xiàn)狀11.3論文研究的主要內(nèi)容2第二章 方案論證32.1 系統(tǒng)設(shè)計(jì)要求32.2系統(tǒng)方案選擇和總體結(jié)構(gòu)設(shè)計(jì)32.2.1系統(tǒng)控制對(duì)象的確定32.2.2電動(dòng)機(jī)供電方案的選擇32.2.2系統(tǒng)控制方案選擇62.2.3總體結(jié)構(gòu)設(shè)計(jì)82.2.4系統(tǒng)的工作原理10第三章硬件電路設(shè)計(jì)113.1硬件設(shè)計(jì)113.1.1主電路選型123.1.2整流電路選擇133.1.3PWM變換器設(shè)計(jì)133.1.4PWM調(diào)速系統(tǒng)主電路143.1.5直流電動(dòng)機(jī)DSP控制和驅(qū)動(dòng)電路153.1.6速度測(cè)量163.1.7 電流檢測(cè)電路設(shè)計(jì)183.1.8 IGBT驅(qū)動(dòng)電路設(shè)計(jì)183.1.9 TMS320LF2407A DSP的結(jié)構(gòu)介紹193.1.10 鍵盤(pán)和顯示233.2主電路中參數(shù)計(jì)算233.2.1變壓器二次側(cè)電壓U2的計(jì)算233.2.2一次、二次相電流I1、I2的計(jì)算243.2.3變壓器容量的計(jì)算243.2.4二極管整流橋VD1- VD6的選擇243.2.5輸入濾波電容C0的選型研究253.2.6平波電抗器的設(shè)計(jì)253.2.7勵(lì)磁電路元件的選擇26第四章調(diào)速系統(tǒng)設(shè)計(jì)274.1模擬控制雙閉環(huán)直流調(diào)速系統(tǒng)電路原理圖274.2調(diào)速系統(tǒng)的靜態(tài)特性和動(dòng)態(tài)特性分析284.3調(diào)速系統(tǒng)調(diào)節(jié)器設(shè)計(jì)304.3.1電流調(diào)節(jié)器設(shè)計(jì)304.3.2轉(zhuǎn)速調(diào)節(jié)器設(shè)計(jì)32第五章仿真設(shè)計(jì)355.1仿真35第六章軟件設(shè)計(jì)396.1 程序流程圖396.2定點(diǎn)DSP的數(shù)據(jù)線(xiàn)Q格式表示方法406.3數(shù)字PI調(diào)節(jié)器的DSP實(shí)現(xiàn)方法1416.4DSP控制程序的有關(guān)參數(shù)計(jì)算436.5DSP控制程序43總 結(jié)45參考文獻(xiàn)46致 謝48附錄一:程序清單49附錄二:系統(tǒng)原理圖5755第一章緒論1.1論文選題背景及研究意義直流電動(dòng)機(jī)具有優(yōu)良的調(diào)速特性,調(diào)速平滑、方便,調(diào)速范圍廣;過(guò)載能力大,能承受頻繁的沖擊負(fù)載,可實(shí)現(xiàn)頻繁的無(wú)級(jí)快速起動(dòng)、制動(dòng)和反轉(zhuǎn);能滿(mǎn)足生產(chǎn)過(guò)程自動(dòng)化系統(tǒng)各種不同的特殊運(yùn)行要求,在許多需要調(diào)速或快速正反向的電力拖動(dòng)系統(tǒng)領(lǐng)域中得到了廣泛的應(yīng)用。有許多生產(chǎn)機(jī)械要求電動(dòng)機(jī)既有正轉(zhuǎn),又能反轉(zhuǎn),而且常常還需要快速地啟動(dòng)和制動(dòng),這就需要可逆的調(diào)速系統(tǒng)。中小功率的可逆直流調(diào)速系統(tǒng)多采用由電力電子功率開(kāi)關(guān)器件組成的橋式可逆PWM變換器,其中功率開(kāi)關(guān)器件采用IGBT。直流電動(dòng)機(jī)的轉(zhuǎn)速調(diào)節(jié)主要有三種方法:調(diào)節(jié)電樞供電的電壓、減弱勵(lì)磁磁通和改變電樞回路電阻。針對(duì)三種調(diào)速方法,都有各自的特點(diǎn),也存在一定的缺陷。例如改變電樞回路電阻調(diào)速只能實(shí)現(xiàn)有級(jí)調(diào)速,減弱磁通雖然能夠平滑調(diào)速,但這種方法的調(diào)速范圍不大,一般都是配合變壓調(diào)速使用。所以,在直流調(diào)速系統(tǒng)中,都是以變壓調(diào)速為主。其中,在變壓調(diào)速系統(tǒng)中,大體上又可分為可控整流式調(diào)速系統(tǒng)和直流PWM調(diào)速系統(tǒng)兩種。直流PWM調(diào)速系統(tǒng)與可控整流式調(diào)速系統(tǒng)相比有下列優(yōu)點(diǎn):由于PWM調(diào)速系統(tǒng)的開(kāi)關(guān)頻率較高,僅靠電樞電感的濾波作用就可獲得平穩(wěn)的直流電流,低速特性好,穩(wěn)速精度高,調(diào)速范圍寬,可達(dá)1:10000左右;同樣,由于開(kāi)關(guān)頻率高,快速響應(yīng)特性好,動(dòng)態(tài)抗干擾能力強(qiáng),可以獲得很寬的頻帶;開(kāi)關(guān)器件只工作在開(kāi)關(guān)狀態(tài),主電路損耗小,裝置效率高;直流電源采用不控整流時(shí),電網(wǎng)功率因數(shù)比相控整流器高1。正因?yàn)橹绷鱌WM調(diào)速系統(tǒng)有以上的優(yōu)點(diǎn),并且隨著電力電子器件開(kāi)關(guān)性能的不斷提高,直流脈寬調(diào)制(PWM)技術(shù)得到了飛速的發(fā)展。傳統(tǒng)的模擬和數(shù)字電路PWM已被大規(guī)模集成電路所取代,這就使得數(shù)字調(diào)制技術(shù)成為可能。目前,在該領(lǐng)域中大部分應(yīng)用的是數(shù)字脈寬調(diào)制器與微處理器集為一體的專(zhuān)用控制芯片, 如TI公司生產(chǎn)的TMS320C24X系列芯片。電動(dòng)機(jī)調(diào)速系統(tǒng)采用微機(jī)實(shí)現(xiàn)數(shù)字化控制,是電氣傳動(dòng)發(fā)展的主要方向之一。采用微機(jī)控制后,整個(gè)調(diào)速系統(tǒng)實(shí)現(xiàn)全數(shù)字化,結(jié)構(gòu)簡(jiǎn)單,可靠性高,操作維護(hù)方便,電動(dòng)機(jī)穩(wěn)態(tài)運(yùn)轉(zhuǎn)時(shí)轉(zhuǎn)速精度可達(dá)到較高水平,靜動(dòng)態(tài)各項(xiàng)指標(biāo)均能較好地滿(mǎn)足工業(yè)生產(chǎn)中高性能電氣傳動(dòng)的要求。1.2國(guó)內(nèi)外研究現(xiàn)狀 本課題設(shè)計(jì)的控制對(duì)象是雙閉環(huán)無(wú)環(huán)流可逆直流調(diào)速系統(tǒng)。目前,對(duì)于控制對(duì)象的研究和討論很多,有比較成熟的理論,但實(shí)現(xiàn)控制的方法和手段隨著技術(shù)的發(fā)展,特別是計(jì)算機(jī)技術(shù)的發(fā)展,不斷地進(jìn)行技術(shù)升級(jí)。這個(gè)過(guò)程經(jīng)歷了從分立元件控制,集成電路控制和單片計(jì)算機(jī)控制等過(guò)程。每一次的技術(shù)升級(jí)都是控制系統(tǒng)的性能有較大地提高和改進(jìn)。隨著新的控制芯片的出現(xiàn),給技術(shù)升級(jí)提供了新的可能。經(jīng)過(guò)文獻(xiàn)檢索,目前已經(jīng)有不少科技工作者開(kāi)展了將DSP芯片用于電機(jī)控制方面的研究,但現(xiàn)在應(yīng)用的例子較少,大部分還處于可行性研究階段。本設(shè)計(jì)的理論基礎(chǔ)有電機(jī)控制、電力電子技術(shù)、自動(dòng)控制原理、計(jì)算機(jī)控制技術(shù)等理論。研究設(shè)想是:通過(guò)研究提出合理的硬件方案和算法,主要進(jìn)行的是理想情況下的可行性研究,具有工程應(yīng)用的可能和超前性。 直流調(diào)速系統(tǒng)已經(jīng)過(guò)多年的研究并已很成熟,而DSP技術(shù)現(xiàn)在也發(fā)展迅猛,并在很多方面取得顯著成果。兩者的結(jié)合,將大大提高電機(jī)控制在高精度控制領(lǐng)域的發(fā)展。用DSP控制電機(jī),用戶(hù)就不必在外圍再設(shè)置模/數(shù)轉(zhuǎn)換器,硬件結(jié)構(gòu)和控制大為簡(jiǎn)化,體積減小,成本也就降低,處理能力和可靠性性能都大大提高。由此可知,基于DSP的雙閉環(huán)直流調(diào)速系統(tǒng)的設(shè)計(jì)不論在理論上還是在工程中都是可行的。 電機(jī)控制是DSP應(yīng)用的主要領(lǐng)域,隨著社會(huì)的發(fā)展以及對(duì)電機(jī)控制要求的日益提高,DSP將在電機(jī)控制領(lǐng)域中將發(fā)揮越來(lái)越重要的作用。1.3論文研究的主要內(nèi)容本設(shè)計(jì)采用DSP芯片TMS329LF2407BL為控制核心,可逆直流調(diào)速系統(tǒng)采用由IGBT組成的橋式可逆PWM變換器,通過(guò)DSP芯片輸出可調(diào)制脈寬波控制IGBT的觸發(fā)角改變電動(dòng)機(jī)兩端的極性從而達(dá)到調(diào)速的目的??刂葡到y(tǒng)采用轉(zhuǎn)速和電流雙閉環(huán)控制,電流環(huán)為內(nèi)環(huán),轉(zhuǎn)速環(huán)為外環(huán)。轉(zhuǎn)速調(diào)節(jié)環(huán)節(jié)ASR和電流調(diào)節(jié)環(huán)節(jié)ACR采用PI調(diào)節(jié)。實(shí)現(xiàn)了PWM直流電機(jī)的轉(zhuǎn)速速度控制,精度較高,具有手動(dòng)設(shè)定速度的功能,具有過(guò)壓和過(guò)流保護(hù)裝置。第二章 方案論證2.1 系統(tǒng)設(shè)計(jì)要求實(shí)現(xiàn)PWM直流電機(jī)的轉(zhuǎn)速數(shù)字控制。被控對(duì)象:直流電機(jī):3000轉(zhuǎn)/分,15V/3A速度控制精度:1度/秒要求帶速度顯示具有手動(dòng)設(shè)定速度的功能具有過(guò)壓和過(guò)流保護(hù)裝置2.2系統(tǒng)方案選擇和總體結(jié)構(gòu)設(shè)計(jì)2.2.1系統(tǒng)控制對(duì)象的確定本次設(shè)計(jì)選用的電動(dòng)機(jī)額定功率40W,額定電壓15V,額定電流3A,額定轉(zhuǎn)速3000r/min。2.2.2電動(dòng)機(jī)供電方案的選擇根據(jù)直流電機(jī)轉(zhuǎn)速方程: (1.1) 式中 n 轉(zhuǎn)速(r/min); U 電樞電壓(V); I 電樞電流(A); R 電樞回路總電阻( W ); F 勵(lì)磁磁通(Wb); Ke 由電機(jī)結(jié)構(gòu)決定的電動(dòng)勢(shì)常數(shù)。由式(1.1)可以看出,有三種方法調(diào)節(jié)電動(dòng)機(jī)的轉(zhuǎn)速:(1)調(diào)節(jié)電樞供電電壓 U;(2)減弱勵(lì)磁磁通 F;(3)改變電樞回路電阻 R。對(duì)于要求在一定范圍內(nèi)無(wú)級(jí)平滑調(diào)速的系統(tǒng)來(lái)說(shuō),以調(diào)節(jié)電樞供電電壓的方式為最好。改變電阻只能有級(jí)調(diào)速;減弱磁通雖然能夠平滑調(diào)速,但調(diào)速范圍不大,往往只是配合調(diào)壓方案,在基速(即電機(jī)額定轉(zhuǎn)速)以上作小范圍的弱磁升速。這里選用變壓調(diào)速1。變壓調(diào)速是直流調(diào)速系統(tǒng)用的主要方法,調(diào)節(jié)電樞供電電壓所需的可控電源通常有3種:旋轉(zhuǎn)電流機(jī)組,靜止可控整流器,直流斬波器和脈寬調(diào)制變換器。旋轉(zhuǎn)變流機(jī)組簡(jiǎn)稱(chēng)G-M系統(tǒng)如圖1.1所示,適用于調(diào)速要求不高,要求可逆運(yùn)行的系統(tǒng),但其設(shè)備多、體積大、費(fèi)用高、效率低、維護(hù)不便。靜止可控整流器又稱(chēng)V-M系統(tǒng)如圖1.2所示,通過(guò)調(diào)節(jié)觸發(fā)裝置GT的控制電壓來(lái)移動(dòng)觸發(fā)脈沖的相位,即可改變Ud,從而實(shí)現(xiàn)平滑調(diào)速,控制作用快速性能好,提高系統(tǒng)動(dòng)態(tài)性能,由于晶閘管的 圖2.1旋轉(zhuǎn)變流機(jī)組供電的直流調(diào)速系統(tǒng)(G-M系統(tǒng))原理圖圖2.2晶閘管電動(dòng)機(jī)調(diào)速系統(tǒng)(V-M系統(tǒng))原理圖單向?qū)щ娦?,它不允許電流反向,給系統(tǒng)的可逆運(yùn)行造成困難;晶閘管對(duì)過(guò)電壓、過(guò)電流和過(guò)高的dV/dt與di/dt 都十分敏感,若超過(guò)允許值會(huì)在很短的時(shí)間內(nèi)損壞器件;由諧波與無(wú)功功率引起電網(wǎng)電壓波形畸變,殃及附近的用電設(shè)備,造成“電力公害”。直流斬波器和脈寬調(diào)制交換器采用PWM器件如圖1.3所示,主電路線(xiàn)路簡(jiǎn)單,需用的功率器件少;開(kāi)關(guān)頻率高,電流容易連續(xù),諧波少,電機(jī)損耗及發(fā)熱都較小;低速性能好,穩(wěn)速精度高,調(diào)速范圍寬,可達(dá)1:10000左右;若與快速響應(yīng)的電機(jī)配合,則系統(tǒng)頻帶寬,動(dòng)態(tài)響應(yīng)快,動(dòng)態(tài)抗擾能力強(qiáng);功率開(kāi)關(guān)器件工作在開(kāi)關(guān)狀態(tài),導(dǎo)通損耗小,當(dāng)開(kāi)關(guān)頻率適當(dāng)時(shí),開(kāi)關(guān)損耗也不大,因而裝置效率較高;直流電源采用不控整流時(shí),電網(wǎng)功率因數(shù)比相控整流器高。三種可控直流電源,V-M系統(tǒng)在上世紀(jì)6070年代得到廣泛應(yīng)用,目前主要用于大容量系統(tǒng)。直流PWM調(diào)速系統(tǒng)作為一種新技術(shù),發(fā)展迅速,應(yīng)用日益廣泛,特別在中、小容量的系統(tǒng)中,已取代V-M系統(tǒng)成為主要的直流調(diào)速方式。根據(jù)本此設(shè)計(jì)的技術(shù)要求和特點(diǎn)選PWM-M系統(tǒng)。在PWM-M系統(tǒng)中,用PWM調(diào)制的方法,把恒定的直流電源電壓調(diào)制成頻率一定、寬度可變的脈沖電壓系列,從而可以改變平均輸出電壓的大小,以調(diào)節(jié)電機(jī)轉(zhuǎn)速。PWM變換器電路有多種形式,主要分為不可逆與可逆兩大類(lèi),由于要求精度要高,故采用可逆。可逆PWM變換器主電路有多種形式,最常用的是橋式(亦稱(chēng)H形)電路,電動(dòng)機(jī)M兩端電壓的極性隨開(kāi)關(guān)器件柵極驅(qū)動(dòng)電壓極性的變化而改變,其控制方式有雙極式、單極式、受限單極式等多種,考慮到精密加工對(duì)性能要求很高,采用雙極式控制的橋式可逆PWM變換器,雙極式控制的橋式可逆PWM變換器具有電流一定連續(xù);可使電機(jī)在四象限運(yùn)行;電機(jī)停止時(shí)有微振電流,能消除靜摩擦死區(qū);低速平穩(wěn)性好,系統(tǒng)的調(diào)速范圍可達(dá)1:20000左右;低速時(shí),每個(gè)開(kāi)關(guān)器件的驅(qū)動(dòng)脈沖仍較寬,有利于保證器件的可靠導(dǎo)通1。綜上所述選雙極式控制的橋式可逆PWM變換器電路供電方案。圖2.3PWM系統(tǒng)的原理圖2.2.2系統(tǒng)控制方案選擇雙閉環(huán)直流調(diào)速系統(tǒng)的結(jié)構(gòu)框圖如圖2.4所示,在整個(gè)系統(tǒng)中,主要包括轉(zhuǎn)速環(huán)和電流環(huán),其中外環(huán)為轉(zhuǎn)速環(huán),內(nèi)環(huán)為電流環(huán)。在設(shè)計(jì)過(guò)程中,主要是設(shè)計(jì)轉(zhuǎn)速調(diào)節(jié)器和電流調(diào)節(jié)器。兩個(gè)調(diào)節(jié)器可以分為模擬的和數(shù)字式的,模擬調(diào)節(jié)器一般都是用運(yùn)算放大器實(shí)現(xiàn),在物理概念上比較清晰,控制信號(hào)流向也比較直觀(guān),一般適合于學(xué)習(xí)入門(mén),但模擬控制系統(tǒng)的控制規(guī)律體現(xiàn)在硬件電路和所用的器件上,因而線(xiàn)路復(fù)雜、通用性較差,其控制效果往往受到器件性能和溫度等因素的影響。圖2.4雙閉環(huán)直流調(diào)速系統(tǒng)結(jié)構(gòu)框圖由于模擬控制系統(tǒng)存在這些缺點(diǎn),并且隨著現(xiàn)代科學(xué)技術(shù)的發(fā)展,特別是在計(jì)算機(jī)控制技術(shù)方面,使得運(yùn)用微型計(jì)算機(jī)實(shí)現(xiàn)雙閉環(huán)直流調(diào)速系統(tǒng)的設(shè)計(jì)成為可能,并且可以達(dá)到比模擬控制系統(tǒng)更優(yōu)的控制效果。 圖2.5采用微處理器后的雙閉環(huán)直流調(diào)速系統(tǒng)框圖如圖2.5所示的為運(yùn)用微處理器實(shí)現(xiàn)雙閉環(huán)直流控制系統(tǒng)的結(jié)構(gòu)框圖。在圖中可以看出,運(yùn)用了微處理器的系統(tǒng),在結(jié)構(gòu)上得到了很大的簡(jiǎn)化,這樣可以使制作成本降低。微機(jī)控制系統(tǒng)不受器件溫度漂移的影響、穩(wěn)定性好、可靠性高,提高了控制性能。通過(guò)軟件編程,進(jìn)行邏輯判斷和復(fù)雜運(yùn)算,可以實(shí)現(xiàn)不同于一般線(xiàn)性調(diào)節(jié)的最優(yōu)化、自適應(yīng)、非線(xiàn)性、智能化等控制規(guī)律,更改起來(lái)靈活方便?,F(xiàn)在微處理技術(shù)發(fā)展相當(dāng)快,生產(chǎn)微處理器的生產(chǎn)廠(chǎng)商也很多,微處理器的型號(hào)層出不窮,他們性能各異,有通用型的,也有一些專(zhuān)用型的。如德州公司生產(chǎn)的的TMS320LF240X系列DSP芯片都是專(zhuān)用在控制電機(jī)方面的。在本系統(tǒng)設(shè)計(jì)中,采用不同的微處理器,有不一樣的方案,下面進(jìn)行討論,并最終選擇一種最適合的方案。 8051是MCS-51系列單片機(jī)中的代表產(chǎn)品,它內(nèi)部集成了功能強(qiáng)大的中央處理器,包含了硬件乘除法器、21個(gè)專(zhuān)用控制寄存器、4kB的程序存儲(chǔ)器、128字節(jié)的數(shù)據(jù)存儲(chǔ)器、4組8位的并行口、兩個(gè)16位的可編程定時(shí)/計(jì)數(shù)器、一個(gè)全雙工的串行口以及布爾處理器2。圖2.6采用8051處理器控制的原理框圖如圖2.6所以是采用8051處理器設(shè)計(jì)雙閉環(huán)直流電機(jī)調(diào)速系統(tǒng)的原理框圖。本方案的優(yōu)點(diǎn)是選用了簡(jiǎn)單的處理器,資源得到了充分的利用,不會(huì)造成太大的浪費(fèi),成本比較低。在檢修方面也有一定的優(yōu)勢(shì),當(dāng)電路中的某一個(gè)模塊出了問(wèn)題,只要對(duì)該模塊進(jìn)行修理或更換即可,其它的硬件可以繼續(xù)使用。該方案的主要不足是設(shè)計(jì)電路相對(duì)比較復(fù)雜,以軟件編程為代價(jià)實(shí)現(xiàn)調(diào)速。采用TMS320LF2407控制設(shè)計(jì)雙閉環(huán)直流調(diào)速控制系統(tǒng)的原理框圖如圖1.7所示。由圖中可看出,DSP處理器的集成程度較高,在這里用到了PWM、ADC、SPI和正交編碼脈沖電路,省去了很多外設(shè)。PWM直接輸出到H型PWM變換器,得以控制電機(jī)的轉(zhuǎn)速和轉(zhuǎn)向;通過(guò)光電編碼器檢測(cè)電機(jī)的轉(zhuǎn)速,測(cè)得的數(shù)據(jù)直接送到圖2.7采用DSP處理器控制的原理框圖DSP處理器的正交編碼器進(jìn)行處理;利用霍爾傳感器主電路的電流,送到DSP處理器上集成的ADC,A/D轉(zhuǎn)換后得到數(shù)字量,由DSP處理器進(jìn)行處理;可以用帶SPI技術(shù)的接口鍵盤(pán)和數(shù)碼管顯示芯片與DSP處理器的SPI外設(shè)接口相接,進(jìn)而可以通過(guò)鍵盤(pán)給定速度,也可以進(jìn)行調(diào)速等操作,數(shù)碼管用來(lái)顯示當(dāng)前的電機(jī)轉(zhuǎn)速。這樣的設(shè)計(jì)方案在硬件結(jié)構(gòu)上得到了很大的簡(jiǎn)化,而且在軟件編程方面也帶來(lái)很大的方便,只須對(duì)一處理器內(nèi)部的一些寄存器進(jìn)行編程即可,大大縮短了開(kāi)發(fā)流程。這與方案一相比主要是少了一些外設(shè),不用設(shè)計(jì)專(zhuān)門(mén)的PWM控制電路,不需要選擇一個(gè)分辨率滿(mǎn)足系統(tǒng)要求的A/D轉(zhuǎn)換器以及對(duì)速度檢測(cè)的數(shù)據(jù)進(jìn)行適當(dāng)?shù)恼蔚?。綜上所述的兩個(gè)方案,從控制的精確、快速、簡(jiǎn)單和題目的要求方面考慮,對(duì)雙閉環(huán)直流控制系統(tǒng),選擇方案二進(jìn)行系統(tǒng)的設(shè)計(jì)。2.2.3總體結(jié)構(gòu)設(shè)計(jì)若采用轉(zhuǎn)速負(fù)反饋和PI調(diào)節(jié)器的單閉環(huán)調(diào)速系統(tǒng)雖然可以在保證系統(tǒng)穩(wěn)定的條件下實(shí)現(xiàn)轉(zhuǎn)速無(wú)靜差,不過(guò)當(dāng)對(duì)系統(tǒng)的動(dòng)態(tài)性能要求較高,例如要求快速起制動(dòng),突加負(fù)載動(dòng)態(tài)速降小等等,單閉環(huán)系統(tǒng)難以滿(mǎn)足要求,因?yàn)樵趩伍]環(huán)系統(tǒng)中不能完全按照需要來(lái)控制動(dòng)態(tài)過(guò)程的電流或轉(zhuǎn)矩,在單閉環(huán)調(diào)速系統(tǒng)中,只有電流截止負(fù)反饋環(huán)節(jié)是專(zhuān)門(mén)用來(lái)控制電流的,但它只是在超過(guò)臨界電流值以后,靠強(qiáng)烈的負(fù)反饋?zhàn)饔孟拗齐娏鞯臎_擊,并不能很理想地控制電流的動(dòng)態(tài)波形,當(dāng)電流從最大值降低下來(lái)以后,電機(jī)轉(zhuǎn)矩也隨之減少,因而加速過(guò)程必然拖長(zhǎng)。若采用雙閉環(huán)調(diào)速系統(tǒng),則可以近似在電機(jī)最大電流(轉(zhuǎn)矩)受限的條件下,充分利用電機(jī)的允許過(guò)載能力,使電力拖動(dòng)系統(tǒng)盡可能用最大的加速度起動(dòng),到達(dá)穩(wěn)態(tài)轉(zhuǎn)速后,又可以讓電流迅速降低下來(lái),使轉(zhuǎn)矩馬上與負(fù)載相平衡,從而轉(zhuǎn)入穩(wěn)態(tài)運(yùn)行,此時(shí)起動(dòng)電流近似呈方形波,而轉(zhuǎn)速近似是線(xiàn)性增長(zhǎng)的,這是在最大電流(轉(zhuǎn)矩)受到限制的條件下調(diào)速系統(tǒng)所能得到的最快的起動(dòng)過(guò)程。采用轉(zhuǎn)速電流雙閉環(huán)調(diào)速系統(tǒng),在系統(tǒng)中設(shè)置了兩個(gè)調(diào)節(jié)器,分別調(diào)節(jié)轉(zhuǎn)速和電流,二者之間實(shí)行串級(jí)聯(lián)接,這樣就可以實(shí)現(xiàn)在起動(dòng)過(guò)程中只有電流負(fù)反饋,而它和轉(zhuǎn)速負(fù)反饋不同時(shí)加到一個(gè)調(diào)節(jié)器的輸入端,到達(dá)穩(wěn)態(tài)轉(zhuǎn)速后,只靠轉(zhuǎn)速負(fù)反饋,不靠電流負(fù)反饋發(fā)揮主要的作用,這樣就能夠獲得良好的靜、動(dòng)態(tài)性能4。與帶電流截止負(fù)反饋的單閉環(huán)系統(tǒng)相比,雙閉環(huán)調(diào)速系統(tǒng)的靜特性在負(fù)載電流小于Idm時(shí)表現(xiàn)為轉(zhuǎn)速無(wú)靜差,這時(shí),轉(zhuǎn)速負(fù)反饋起主調(diào)作用,系統(tǒng)表現(xiàn)為電流無(wú)靜差。得到過(guò)電流的自動(dòng)保護(hù)。顯然靜特性?xún)?yōu)于單閉環(huán)系統(tǒng)。在動(dòng)態(tài)性能方面,雙閉環(huán)系統(tǒng)在起動(dòng)和升速過(guò)程中表現(xiàn)出很快的動(dòng)態(tài)跟隨性,在動(dòng)態(tài)抗擾性能上,表現(xiàn)在具有較強(qiáng)的抗負(fù)載擾動(dòng),抗電網(wǎng)電壓擾動(dòng)。綜上所述,本系統(tǒng)用一臺(tái)DSP及外部擴(kuò)展設(shè)備代替模擬系統(tǒng)中速度調(diào)節(jié)器、電流調(diào)節(jié)器、觸發(fā)器、邏輯切換單元、電壓記憶環(huán)節(jié)、鎖零單元和電流自適應(yīng)調(diào)節(jié)器等,從而使直流調(diào)速系統(tǒng)實(shí)現(xiàn)DSP的控制。其硬件結(jié)構(gòu)如圖2.8所示。圖2.8DSP控制的直流調(diào)速系統(tǒng)結(jié)構(gòu)圖2.2.4系統(tǒng)的工作原理在此DSP控制的直流調(diào)速系統(tǒng)中,速度給定、速度反饋和電流反饋信號(hào)是通過(guò)模擬光電隔離器、A/D轉(zhuǎn)換器送入計(jì)算機(jī),計(jì)算機(jī)按照已定的控制算法計(jì)算產(chǎn)生雙脈沖,經(jīng)并行口、數(shù)字光電隔離器、功率放大器送到直流PWM變換器的控制級(jí),從而可以改變平均輸出電壓的大小,平穩(wěn)的調(diào)節(jié)電動(dòng)機(jī)的速度。IGBT正反組切換由數(shù)字邏輯切換單元來(lái)完成。第三章硬件電路設(shè)計(jì)3.1硬件設(shè)計(jì)硬件結(jié)構(gòu)由主電路和控制電路兩部分組成。主電路由三相不可控整流、H橋雙極性PWM電路、泵升電壓電路與直流電機(jī)構(gòu)成。H橋雙極性PWM電路驅(qū)動(dòng)信號(hào)的產(chǎn)生由DSPTMS320LF2407A控制輸出。轉(zhuǎn)速的檢測(cè)采用數(shù)字測(cè)速器。它是用DSP讀取與電動(dòng)機(jī)聯(lián)軸的光電編碼器輸出的脈沖數(shù),經(jīng)DSP計(jì)算后得出轉(zhuǎn)速值。泵升電壓的控制經(jīng)與事先設(shè)定值比較后由DSP發(fā)出控制信號(hào)控制啟動(dòng)泵升電壓電路,進(jìn)行能量泄放,保護(hù)主電路。系統(tǒng)利用故障保護(hù)引腳產(chǎn)生的信號(hào),及時(shí)封鎖4路PWM信號(hào)。該DSP控制系統(tǒng)有完善的保護(hù)體系。 圖3.1 DSP控制雙閉環(huán)直流PWM調(diào)速系統(tǒng)硬件結(jié)構(gòu)圖3.1.1主電路選型電動(dòng)機(jī)的額定電壓為15V,為保證供電質(zhì)量,應(yīng)采用三相降壓變壓器將電源電壓降低;為避免三次諧波電動(dòng)勢(shì)的不良影響,三次諧波電流對(duì)電源的干擾,主變壓器采用D/Y聯(lián)結(jié)。3.1.2整流電路選擇整流電路是電力電子中出現(xiàn)的最早的一種,它將交流電變?yōu)橹绷麟?。主要分?lèi)方法有:按組成的器件可分為不可控、半控、全控三種;按電路結(jié)構(gòu)分橋式電路和零式電路;按交流輸入相數(shù)分為單相電路和多相電路;按變壓器二次側(cè)電流的方向是單向或雙向,又分為單拍電路和雙拍電路5。 由于工廠(chǎng)為三相交流電源,這里選用三相橋式電路,三相橋式中有全控和不可控,雖然全控的性能好,單需要觸發(fā)電路,勢(shì)必會(huì)增加成本,在這里為了減少設(shè)計(jì)的成本和減少程序的編寫(xiě),在這里選用三相橋式不可控整流電路,電路圖如圖2.1。圖3.2電容濾波的三相橋式不可控整流電路3.1.3PWM變換器設(shè)計(jì)可逆PWM變換器主電路有多種形式,最常用的是橋式(亦稱(chēng)H形)電路。其控制方式有雙極式、單極式、受限單極式。在第1章中已經(jīng)介紹了,這里選用雙極性,雙極性驅(qū)動(dòng)是指在一個(gè)PWM周期內(nèi),電動(dòng)機(jī)電樞的電壓極性呈正負(fù)變化。圖3.3H型雙極可逆PWM驅(qū)動(dòng)系統(tǒng)圖3.3是H型雙極性可逆PWM的工作電路原理圖。4個(gè)開(kāi)關(guān)組分成兩組,V1、V4為一組,V2、V3為另一組。同一組的開(kāi)關(guān)管同步導(dǎo)通或通斷,不同組的開(kāi)關(guān)管的導(dǎo)通與關(guān)斷正好相反。使用時(shí)要注意加“死區(qū)”,避免同一橋臂的開(kāi)關(guān)管發(fā)生直通短路。在每個(gè)PWM周期里,當(dāng)控制信號(hào)Ui1高電平時(shí),開(kāi)關(guān)管V1、V4導(dǎo)通,此時(shí)Ui2為低電平,因此V2、V3截止,電樞繞組承受從A到B的正向電壓;當(dāng)控制信號(hào)Ui1低電平時(shí),開(kāi)關(guān)管V1、V4截止,此時(shí)Ui2為高電平,因此V2、V3導(dǎo)通,電樞繞組承受從B到A的方向電壓,這就是所謂“雙極”。由于在一個(gè)PWM周期里電樞電壓經(jīng)歷了正反兩次變化,因此雙極性控制可逆PWM變換器的輸出平均電壓Ud計(jì)算公式為: (3.1)由式(3.1)可見(jiàn),雙極性可逆PWM驅(qū)動(dòng)時(shí),電樞繞組所受的平均電壓取決于占空比大小。當(dāng)=0時(shí),Ud=-Us,電動(dòng)機(jī)反轉(zhuǎn),且轉(zhuǎn)速最大;當(dāng)=-1時(shí),Ud=Us,電動(dòng)機(jī)正轉(zhuǎn),且轉(zhuǎn)速最大;當(dāng)=1/2時(shí),Ud=0。電動(dòng)機(jī)不轉(zhuǎn)。雖然此時(shí)電動(dòng)機(jī)不轉(zhuǎn),但電樞繞組中仍然有交邊電流流動(dòng),使電動(dòng)機(jī)產(chǎn)生高頻振蕩,這樣振蕩有利于克服電動(dòng)機(jī)負(fù)載的靜摩擦,起著所謂“動(dòng)力潤(rùn)滑”的作用,提高了動(dòng)態(tài)性能6。3.1.4PWM調(diào)速系統(tǒng)主電路在文章的第1章中,我已經(jīng)簡(jiǎn)明講述了調(diào)速系統(tǒng)的主電路主要由三相不可控整流電路和PWM變換器電路構(gòu)成。圖3.4所示是橋式可逆直流脈寬調(diào)速系統(tǒng)主電路的原理圖。圖3.4橋式可逆直流脈寬調(diào)速系統(tǒng)主電路的原理圖PWM變換器的直流電源通常由交流電網(wǎng)經(jīng)不可控的二極管整流器產(chǎn)生,并采用了電容C濾波,以獲得恒定的直流電壓U。由于電容的容量較大,接加電源時(shí)相當(dāng)于短路,勢(shì)必產(chǎn)生很大的充電電流,容易損壞整流二極管。為了限制充電電流,在整流器和濾波電容之間串入限流電流Ra(或電抗),合上電源后,延遲開(kāi)關(guān)將Ra短路,以免在運(yùn)行中造成附加損耗。濾波電容器往往在PWM裝置的體積和重量中占有不小的份額,因此電容器容量的選擇是PWM裝置設(shè)計(jì)中的重要問(wèn)題。但對(duì)于PWM變換器中的濾波電容器來(lái)說(shuō),具作用除濾波外,還有當(dāng)電機(jī)制動(dòng)時(shí)吸收運(yùn)行系統(tǒng)動(dòng)能的作用。由于直流電流靠二極管整流器供電,不可能回饋電能,電機(jī)制動(dòng)時(shí)只好對(duì)濾波電容充電,這將使電容兩端的電壓升高,稱(chēng)作“泵升電壓”。一般來(lái)說(shuō)。是由電力電子器件的耐壓限制著最高泵升電壓,因此電容量就不可能很小,一般幾千瓦的調(diào)速系統(tǒng)所需的電容量達(dá)到數(shù)千微法。而在大容量或負(fù)載有較大慣量的系統(tǒng)中,不可能只靠電容量來(lái)限制泵升電壓,這時(shí),可以采用圖3.4中的整流電阻Rb來(lái)消耗部分動(dòng)能。Rb的分流電路靠開(kāi)關(guān)器件VTb在泵升電壓達(dá)到允許數(shù)值時(shí)接通。對(duì)于更大容量的系統(tǒng),為了提高效率,可以在二極管整流器輸出端并接逆變器,把多余的能量逆變后回饋給電網(wǎng)。當(dāng)然,這樣一來(lái),系統(tǒng)就會(huì)變得復(fù)雜多了,在這里也就不再介紹了。3.1.5直流電動(dòng)機(jī)DSP控制和驅(qū)動(dòng)電路 圖3.5是根據(jù)控制原理所設(shè)計(jì)的用TMS320LF2407A DSP實(shí)現(xiàn)直流電動(dòng)機(jī)調(diào)速的控制和驅(qū)動(dòng)電路。圖3.5直流電動(dòng)機(jī)DSP控制和驅(qū)動(dòng)電路圖中采用了H型驅(qū)動(dòng)電路,通過(guò)DSP的PWM輸出引腳PWM1-PWM4輸出的控制信號(hào)進(jìn)行控制。用霍爾電流傳感器檢測(cè)電流變化,并通過(guò)ADCIN00引腳輸入給DSP,經(jīng)A/D轉(zhuǎn)換產(chǎn)生電流反饋信號(hào)。采用增量式光電編碼器檢測(cè)電動(dòng)機(jī)的速度變化,經(jīng)QEP1、QEP2腳輸入給DSP,獲得速度反饋信號(hào)3。它還可以很容易地實(shí)現(xiàn)位置控制。3.1.6速度測(cè)量速度檢測(cè)有模擬和數(shù)字兩種檢測(cè)方法。模擬測(cè)速一般采用測(cè)速發(fā)電機(jī),其輸出電壓不僅表示了轉(zhuǎn)速的大小,還包含了轉(zhuǎn)速的方向,在調(diào)速系統(tǒng)中,轉(zhuǎn)速的方向也是不可缺少的。不過(guò)模擬測(cè)速方法的精度不夠高,在低速時(shí)更為嚴(yán)重。對(duì)于要求精度高、調(diào)速范圍大的系統(tǒng),往往需要采用旋轉(zhuǎn)編碼器測(cè)速,即數(shù)字測(cè)速。光電式旋轉(zhuǎn)編碼器是轉(zhuǎn)速或轉(zhuǎn)角的檢測(cè)元件,旋轉(zhuǎn)編碼器與電動(dòng)機(jī)相連,當(dāng)電動(dòng)機(jī)轉(zhuǎn)動(dòng)時(shí),帶動(dòng)碼盤(pán)旋轉(zhuǎn),便發(fā)出轉(zhuǎn)速或轉(zhuǎn)角信號(hào)。旋轉(zhuǎn)編碼器可分為絕對(duì)式和增量式兩種。絕對(duì)式編碼器在碼盤(pán)上分層刻上表示角度的二進(jìn)制數(shù)碼或循環(huán)碼,通過(guò)接受器將該數(shù)碼送入計(jì)算機(jī)。絕對(duì)式編碼器常用于檢測(cè)轉(zhuǎn)角,若需得到轉(zhuǎn)速信號(hào),必須對(duì)轉(zhuǎn)角進(jìn)行微分處理。增量式編碼器在碼盤(pán)上均勻地刻制一定數(shù)量的光柵,如圖2.5所示,當(dāng)電動(dòng)機(jī)旋轉(zhuǎn)時(shí),碼盤(pán)隨之一起轉(zhuǎn)動(dòng)。通過(guò)光柵的作用,持續(xù)不斷地開(kāi)發(fā)或封閉光通路,因此,在接收裝置的輸出端便得到頻率與轉(zhuǎn)速成正比的方波脈沖序列,從而可以計(jì)算轉(zhuǎn)速。圖3.6增量式旋轉(zhuǎn)編碼器示意圖上述脈沖序列正確地反映了轉(zhuǎn)速的高低,但不能鑒別轉(zhuǎn)向。為了獲得轉(zhuǎn)速的方向,可增加一對(duì)發(fā)光與接收裝置,使兩對(duì)發(fā)光與接收裝置錯(cuò)開(kāi)光柵節(jié)距的1/4,則兩組脈沖序列A和B的相位相差90 o,如圖2.6所示。正轉(zhuǎn)時(shí)A相超前B相;反轉(zhuǎn)時(shí)B相超前A相。采用簡(jiǎn)單的鑒相電路就可以分辨出方向。圖3.7區(qū)分旋轉(zhuǎn)方向的A、B兩組脈沖序列若碼盤(pán)的光柵數(shù)為N,則轉(zhuǎn)速分辨率為1/N,常用得旋轉(zhuǎn)編碼器光柵數(shù)有1024、2048、4096等。采用倍率電路可以有效地提高轉(zhuǎn)速分辨率,而不增加旋轉(zhuǎn)編碼器的光柵數(shù),一般多采用四倍頻電路3。采用旋轉(zhuǎn)編碼器的數(shù)字測(cè)速方法有三種:M法、T法和M/T法。(1)M法測(cè)速。在一定的時(shí)間Tc內(nèi)測(cè)取旋轉(zhuǎn)編碼器輸出的脈沖個(gè)數(shù)M1,用以計(jì)算這段時(shí)間內(nèi)的平均轉(zhuǎn)速,稱(chēng)作M法測(cè)速。把M1除以Tc就得到了旋轉(zhuǎn)編碼器輸出脈沖的頻率f1=M1/Tc,所以又稱(chēng)頻率法。電動(dòng)機(jī)每轉(zhuǎn)一圈共產(chǎn)生Z個(gè)脈沖(Z=倍頻系數(shù)編碼光柵數(shù)),把f1除以Z就得到電動(dòng)機(jī)的轉(zhuǎn)速。在習(xí)慣上,時(shí)間Tc以秒為單位,而轉(zhuǎn)速是以每分鐘的轉(zhuǎn)數(shù)r/min為單位,則電動(dòng)機(jī)的轉(zhuǎn)速為: (3.2)在上式中,Z和Tc均為常值,因此轉(zhuǎn)速n正比于脈沖個(gè)數(shù)M1。高速時(shí)M1大,量化誤差較小,隨著轉(zhuǎn)速的降低誤差增大,轉(zhuǎn)速過(guò)低時(shí)M1將小于1,測(cè)速裝置便不能正常工作。所以M法測(cè)速只適用于高速段。(2)T法測(cè)速。在編碼器兩個(gè)相鄰輸出脈沖的間隔時(shí)間內(nèi),用一個(gè)計(jì)數(shù)器對(duì)已知頻率為f0的高頻時(shí)鐘脈沖進(jìn)行計(jì)數(shù),并由此來(lái)計(jì)算轉(zhuǎn)速,稱(chēng)為T(mén)法測(cè)速。在這里,測(cè)速時(shí)間緣于編碼器輸出脈沖的周期,所以又稱(chēng)周期法。在T法測(cè)速中,準(zhǔn)確的測(cè)速時(shí)間Tt是用所得的高頻時(shí)鐘脈沖個(gè)數(shù)M2計(jì)算出來(lái)的,即Tt=M2/f0,則電動(dòng)機(jī)轉(zhuǎn)速為:(3.3)高速時(shí)M2小,量化誤差大,隨著轉(zhuǎn)速的降低誤差減小,所以T法測(cè)速適用于低速段。(3)M/T法測(cè)速。把M法和T法結(jié)合起來(lái),既檢測(cè)Tc時(shí)間內(nèi)旋轉(zhuǎn)編碼器輸出的脈沖個(gè)數(shù)M1,有檢測(cè)同一時(shí)間間隔的高頻時(shí)鐘脈沖個(gè)數(shù)M2,用來(lái)計(jì)算轉(zhuǎn)速,稱(chēng)作M/T法測(cè)速。設(shè)高頻時(shí)鐘脈沖的頻率為f0,則準(zhǔn)確的測(cè)速時(shí)間Tt=M2/f0,而電動(dòng)機(jī)轉(zhuǎn)速為:(3.4)采用M/T法測(cè)速時(shí),應(yīng)保證高頻時(shí)鐘脈沖計(jì)數(shù)器與旋轉(zhuǎn)編碼器輸出脈沖計(jì)數(shù)器同時(shí)開(kāi)啟與關(guān)閉,以減少誤差,只有等到編碼器輸出脈沖前沿到達(dá)時(shí),兩個(gè)計(jì)數(shù)器才同時(shí)允許開(kāi)始或者停止計(jì)數(shù)。由于M/T法的計(jì)數(shù)值M1和M2都隨著轉(zhuǎn)速的變化而變化,高速時(shí),相當(dāng)于M法測(cè)速,最低速時(shí),M1=1,自動(dòng)進(jìn)入T法測(cè)速。因此,M/T法測(cè)速能適應(yīng)的轉(zhuǎn)速范圍明顯大于前兩種,是目前廣泛應(yīng)用的一種測(cè)速方法3。綜上所述,本系統(tǒng)的速度測(cè)量采用數(shù)字M/T法測(cè)速。其中利用T1作為定時(shí)器,計(jì)時(shí)Tc時(shí)間產(chǎn)生中斷,旋轉(zhuǎn)編碼器輸出的脈沖個(gè)數(shù)M1由P1.6口檢測(cè),同一時(shí)間間隔的高頻時(shí)鐘脈沖個(gè)數(shù)M2由P1.7口檢測(cè),最后由轉(zhuǎn)速中斷程序完成轉(zhuǎn)速的測(cè)量等等。3.1.7 電流檢測(cè)電路設(shè)計(jì)由霍爾電流傳感器CS50-P和I/V變換電路組成。用霍爾器件進(jìn)行檢測(cè)電流,由于磁場(chǎng)的變化與霍爾器件的輸出電壓信號(hào)有良好的線(xiàn)性關(guān)系,因此可以利用霍爾器件測(cè)得的輸出信號(hào),直接反應(yīng)出導(dǎo)線(xiàn)中的電流強(qiáng)度。CS50-P是閉環(huán)電流傳感器。額定電流3.7A,額定輸出電流50Ma,正好滿(mǎn)足設(shè)計(jì)要求,故選用該件。由霍爾電流傳感器測(cè)得的電流由I/V變換電路輸入給DSP引腳ADCIN00,形成電流環(huán)。3.1.8 IGBT驅(qū)動(dòng)電路設(shè)計(jì)本設(shè)計(jì)采用雙極式H形可逆PWM變換器控制電機(jī)。四只IGBT的柵極驅(qū)動(dòng)電壓分為兩組,VT1和VT4同時(shí)導(dǎo)通和關(guān)斷,其驅(qū)動(dòng)電壓Ug1=Ug4;VT2和VT3同時(shí)導(dǎo)通和關(guān)斷,其驅(qū)動(dòng)電壓Ug2=Ug3=-Ug1.本設(shè)計(jì)選用芯片M57215BL,四個(gè)M57215BL輸出四路信號(hào)分別控制觸發(fā)四個(gè)IGBT。M57215模塊是日本三菱公司較早推出的大功率三極管驅(qū)動(dòng)電路。該模塊電路成熟,應(yīng)用電路簡(jiǎn)單,對(duì)外界環(huán)境要求不高,特別是電源適用范圍很廣。M57215模塊實(shí)際上是一塊厚膜電路,內(nèi)部集成了包括光電耦合器在內(nèi)的GTR驅(qū)動(dòng)電路。該模塊分兩種規(guī)格:M57215L主要用于A(yíng)C200220V線(xiàn)路中;M57215BL主要用于A(yíng)C400440V線(xiàn)路中。本設(shè)計(jì)選用M57215BL。該模塊能直接驅(qū)動(dòng)50A以下各種型號(hào)的GTR。當(dāng)外加一級(jí)放大電路時(shí),可驅(qū)動(dòng)50A以上各種型號(hào)的GTR,應(yīng)用十分靈活。內(nèi)部原理圖如圖: 圖3.8 M57215 內(nèi)部原理圖從圖中可以看出,其原理十分簡(jiǎn)單,從引腳1和2加入控制信號(hào),由引腳5和6輸出驅(qū)動(dòng)信號(hào)。3.1.9 TMS320LF2407A DSP的結(jié)構(gòu)介紹TMS329LF2407A DSP屬于TI公司TMS329C2000系列定點(diǎn)DSP中的C24xx產(chǎn)品系列。該系列產(chǎn)品還有TMS329LF2401A、2402A、2403A、2406A和TMS329LC2401A、2402A、2404A、2406A。C24xx系列DSP兼容早期的C24xx系列DSP。其中“LF”代表內(nèi)Flash EPROM93.3V,“LC”代表低電壓CM9S(3.3V)。TMS320LF2407A DSP有如下特點(diǎn):(1) 由于采用了高性能的靜態(tài)CMOS制造技術(shù),因此給DSP具有低功耗和高速度的特點(diǎn)。工作電壓3.3V,有4種低功耗工作方式。單指令周期最短為25ns(40MHz),最高運(yùn)算速度可達(dá)40MIPS,四級(jí)指令執(zhí)行流水線(xiàn)。低功耗有利于電池的應(yīng)用場(chǎng)合;而高速度非常使用于電動(dòng)機(jī)的實(shí)時(shí)控制。(2)由于采用了TMS320C2xx DSP CPU內(nèi)核,因此保證了與TMS320C24x系列DSP的代碼兼容性。(3)片內(nèi)繼承了32K字的Flash程序存儲(chǔ)器、2K字的單口RAM、544字的雙口RAM。因而使該芯片可用于產(chǎn)品開(kāi)發(fā)??删幊痰拿艽a保護(hù)能夠充分的維護(hù)擁護(hù)的知識(shí)產(chǎn)權(quán)。(4)提供外擴(kuò)展64K字程序存儲(chǔ)器、64K字?jǐn)?shù)據(jù)存儲(chǔ)器、64字I/O的能力。(5)兩個(gè)專(zhuān)用于電動(dòng)機(jī)控制的時(shí)間管理器(EV),每一個(gè)都包含:2個(gè)16位通用定時(shí)器;8個(gè)16位脈寬調(diào)制(PWM)輸出通道;1個(gè)能夠快速封鎖輸出的外部引腳PDPINTx(其狀態(tài)可從COMCONx寄存器獲得);可防止上下橋臂直通的可編程死區(qū)功能;3個(gè)捕捉單元;1個(gè)增量式光電位置編碼器接口。(6)可編程看門(mén)狗定時(shí)器,保證程序運(yùn)行的安全性。(7)16通道10為A/D轉(zhuǎn)換器,具有可編程自動(dòng)排序功能,4個(gè)啟動(dòng)A/D轉(zhuǎn)換的觸發(fā)源,最快A/D轉(zhuǎn)換時(shí)間為375ns。(8)32位累加器和32位中央算術(shù)邏輯單元(CALU);16位16位并行乘法器,可實(shí)現(xiàn)單指令周期的乘法運(yùn)算;5個(gè)外部中斷。(9)串行借口SPI和SCI模塊。(10)很寬的工作溫度范圍,普通級(jí):-4085;特殊級(jí):-40125。這些性能對(duì)于本次設(shè)計(jì)來(lái)說(shuō),具有非常重要的意義。TMS320LF2407引腳介紹,此處只介紹與本設(shè)計(jì)相關(guān)的引腳。CAP1/QEP1/IOPA3捕捉輸入#1/正交編碼脈沖輸入#1(EVA)或通用I/OCAP2/QEP2/IOPA4捕捉輸入#2/正交編碼脈沖輸入#2(EVA)或通用I/OCAP3/IOPA5捕捉輸入#3(EVA)或通用I/OADCIN00ADC的模擬輸入#0PWM1/IOPA6比較/PWM輸出引腳#1(EVA)或通用I/OPWM2/IOPA7比較/PWM輸出引腳#2(EVA)或通用I/OPWM3/IOPA0比較/PWM輸出引腳#3(EVA)或通用I/OPWM4/IOPB1比較/PWM輸出引腳#4(EVA)或通用I/OPWM7/IOPE1比較/PWM輸出引腳#7(EVA)或通用I/OPDPINTA功率驅(qū)動(dòng)保護(hù)中斷輸入引腳TMS329LF2407A DSP的結(jié)構(gòu)才用了改進(jìn)的哈佛結(jié)構(gòu),該結(jié)構(gòu)支持分離的程序和數(shù)據(jù)總線(xiàn)。這樣的結(jié)構(gòu)使取指令、執(zhí)行指令、數(shù)據(jù)傳送和外設(shè)控制可以并行進(jìn)行,因此可以擊打的提供工作速度。2407A DSP 可以分成三部分:DSP內(nèi)核、存儲(chǔ)器和外圍設(shè)備。其功能結(jié)構(gòu)圖如圖4.10所示。DSP內(nèi)核是DSP的核心,它擔(dān)負(fù)著數(shù)據(jù)運(yùn)算、信號(hào)處理的任務(wù)。它包括了累器、狀態(tài)寄存器S0和S1、中央算術(shù)邏輯單元CALU、輔助寄存器、乘法器、移位器臨時(shí)寄存器T和乘積寄存器P。存儲(chǔ)器包括了32K字的Flash程序存儲(chǔ)器、2K字的單口RAM和544字的雙口RAM(DAAM)。外圍設(shè)備指的是DSP芯片中集成的除內(nèi)核以外的功能模塊,習(xí)慣上稱(chēng)之為外設(shè)。它包括了事件管理器、ADC轉(zhuǎn)換器、SPI和SCI串行接口、 CAN接口等。 其中,在這里特別說(shuō)明一下事件管理器,TMS320LF2407A中的時(shí)間管理器(EV)是專(zhuān)門(mén)為電動(dòng)機(jī)控制而設(shè)計(jì)的專(zhuān)用模塊。下面具體介紹事件管理器的結(jié)構(gòu)功能:TMS320LF2407A DSP有兩個(gè)相互獨(dú)立的事件管理器EVA和EVB,結(jié)構(gòu)功能完全相同,每個(gè)都有 16位通用定時(shí)器、8個(gè)16位的PWM 圖4.10TMS320LF2407A 模塊結(jié)構(gòu)通道,三個(gè)比較單元,三個(gè)捕獲單元,兩路正交編碼脈沖電路Q(chēng)EP及計(jì)數(shù)方向和外部時(shí)鐘輸入,16通道的10位的最小轉(zhuǎn)換時(shí)間375ns的A/D轉(zhuǎn)換器。由于事件管理器EVA和EVB,結(jié)構(gòu)功能一樣,下面就只介紹一下管理器模塊A(EVA)的結(jié)構(gòu)功能。事件管理器A有Timer1和Timer2兩個(gè)通用定時(shí)器,它們有如下功能:(1)作為常規(guī)的定時(shí)/計(jì)數(shù)器使用;(2)用于在TxPWM引腳上輸出頻率和脈寬可調(diào)的PWM波;(3)與捕捉模塊結(jié)合測(cè)量CAPx引腳上的脈寬;(4)定時(shí)器1與比較模塊配合產(chǎn)生死區(qū)可調(diào)的6個(gè)PWM控制信號(hào);(5)定時(shí)器2可服務(wù)于增量式光電編碼器接口,測(cè)量電動(dòng)機(jī)的轉(zhuǎn)向、角位移和轉(zhuǎn)速;(6)啟動(dòng)A/D轉(zhuǎn)速。每個(gè)通用定時(shí)器包括:一個(gè)可讀寫(xiě)的16位定時(shí)器增/減計(jì)數(shù)器TxCNT;一個(gè)可讀寫(xiě)的16位定時(shí)器比較寄存器TxCMPR;一個(gè)可讀寫(xiě)的16位定時(shí)器周期寄存器TxPR;一個(gè)可讀寫(xiě)16位的定時(shí)器控制寄存器TxCR;可選擇的內(nèi)部或外部時(shí)鐘;4可屏蔽中斷下溢、上溢、定時(shí)器比較和周期中斷。每個(gè)通用定時(shí)器有四種可選擇的操作模式:停止/保持模式;連續(xù)遞增記數(shù)模式;定向增減記數(shù)模式;連續(xù)增減記數(shù)模式。利用這些操作模式可以產(chǎn)生周期可變和固定的各種鋸齒波及三角波。定時(shí)器比較寄存器和這些波形進(jìn)行比較就可以產(chǎn)生各種PWM輸出,稱(chēng)之為波形發(fā)生器。通過(guò)配置GPTCONA/B寄存器中的相應(yīng)位來(lái)規(guī)定高有效、低有效、強(qiáng)制高、強(qiáng)制低,這樣就可以控制波形發(fā)生器的輸出,以生成不同類(lèi)型功率設(shè)備所需的PWM波形,每個(gè)通用定時(shí)器都提供一個(gè)獨(dú)立的PWM輸出通道。事件管理器A有3個(gè)比較單元,1個(gè)比較單元、1個(gè)比較控制寄存器COMCONx和一個(gè)比較方式控制寄存器ACTRx。每個(gè)比較單元都有一個(gè)比較寄存器CMPRx,以及2個(gè)PWM輸出引腳。這一套組合可以使事件管理器產(chǎn)生6個(gè)帶死區(qū)的PWM輸出,用于控制三相逆變橋。比較單元的操作功能與定時(shí)器比較積存器的操作功能相似。當(dāng)定時(shí)器的計(jì)數(shù)值與比較單元的比較寄存器相等時(shí),就會(huì)在該比較單元的兩個(gè)PWM引腳上產(chǎn)生跳變(兩個(gè)引腳的跳變與比較方式寄存器ACTRx的設(shè)置有關(guān)),并經(jīng)過(guò)1個(gè)CPU時(shí)鐘后發(fā)出比較中斷申請(qǐng)。比較單元受比較控制寄存器和比較方式寄存器控制,通過(guò)這些寄存器可以設(shè)置比較輸出是否允許、比較值和方式寄存器的重載條件、PWM引腳輸出方式等。增量式光電編碼器是電動(dòng)機(jī)控制中的常用傳感器,用于測(cè)量電動(dòng)機(jī)輸出的角位移和轉(zhuǎn)速等信息,作為閉環(huán)控制的反饋量。TMS320LF2407A DSP提供了與這種編碼器的接口電路。在事件管理器A中,它的編碼器接口電路使用了定時(shí)器2作為可逆計(jì)數(shù)器,來(lái)計(jì)數(shù)編碼脈沖的個(gè)數(shù)。編碼脈沖通過(guò)2個(gè)引腳QEP1/CAP1和QEP2/CAP2輸入到芯片內(nèi)部。這兩個(gè)引腳是與捕捉單元1、2復(fù)用的引腳,因此在使用編碼器接口電路時(shí),要禁止捕捉功能。編碼器接口電路利用輸入編碼脈沖的4個(gè)邊沿加工成4倍頻的計(jì)數(shù)脈沖信號(hào)和計(jì)數(shù)方向信號(hào)。4倍頻的計(jì)數(shù)脈沖信號(hào)有利于提高電動(dòng)機(jī)角位置和角位移信號(hào)的分辨率。計(jì)數(shù)方向信號(hào)自動(dòng)地控制定時(shí)器2的計(jì)數(shù)方向,而計(jì)數(shù)方向引腳TDIRA這時(shí)不起作用。在事件管理器A模塊中,對(duì)增量式編碼器脈沖電路寄存器的設(shè)置如下:將所需的值裝載到定時(shí)器2的計(jì)數(shù)器、周期和比較寄存器中;設(shè)置T2CON為定向增/減計(jì)數(shù)方式,編碼脈沖電路作為時(shí)鐘源,并允許定時(shí)器2。捕捉單元可用于測(cè)量捕捉引腳上輸入信號(hào)的兩個(gè)相鄰跳變間的時(shí)間間隔,因此可以測(cè)量輸入信號(hào)的頻率或周期。事件管理器A模塊有三個(gè)捕捉單元,每個(gè)捕捉單元都有相應(yīng)的引腳CAPx,它們可以選擇定時(shí)器1或2作為計(jì)數(shù)時(shí)鐘,但CAP1、CAP2必須使用相同的計(jì)數(shù)時(shí)鐘。每個(gè)捕捉單元都有一個(gè)2級(jí)先入先出(FIFO)堆棧,分為頂層堆棧(CAPxFIFO)和低層堆棧(CAPxFBOT)。當(dāng)輸入引腳上的信號(hào)發(fā)生指定跳變時(shí),捕捉單元自動(dòng)地將定時(shí)器的計(jì)數(shù)值(TxCNT)保存到堆棧中,并置中斷標(biāo)志位。頂層堆棧保存舊的計(jì)數(shù)值。當(dāng)對(duì)堆棧進(jìn)行讀操作時(shí),總是讀取頂層堆棧的舊值,而同時(shí)低層堆棧自動(dòng)地進(jìn)入頂層堆棧,所以讀操作可以清空堆棧。捕捉單元有兩種寄存器,一個(gè)是捕捉控制寄存器(CAPCONA/B),另一個(gè)是捕捉FIFO狀態(tài)寄存器(CAPFIFOA/B)。3.1.10 鍵盤(pán)和顯示HD7279A的選通端和CLK、DATA、分別于DSP的DSP CAP5/QEP4/IOPF0、DSP CLKOUT/IOPE0、DSP CAP6/IOPF1、DSP XINT2/ADCSOC/IOPD0相連。HD7279A是一片具有串行接口的,可同時(shí)驅(qū)動(dòng)8位共陰式數(shù)碼管(或64位獨(dú)立LED)的智能顯示驅(qū)動(dòng)芯片,該芯片同時(shí)還可連接多達(dá)64鍵的鍵盤(pán)矩陣,單片即可完成LED顯示,鍵盤(pán)接口的全部功能。HD7279A內(nèi)部含有譯碼器,可直接接受BCD碼或16進(jìn)制碼,并同時(shí)具有2種譯碼方式。HD7279A具有片選信號(hào),可方便地實(shí)現(xiàn)多于8位的顯示或多于64鍵的鍵盤(pán)接口。本設(shè)計(jì)中前四位數(shù)碼管顯示給定速度,后四位數(shù)碼管顯示實(shí)時(shí)速度。每位數(shù)碼管對(duì)應(yīng)的二個(gè)鍵分別為增加鍵和減少鍵。3.2主電路中參數(shù)計(jì)算3.2.1變壓器二次側(cè)電壓U2的計(jì)算U2是一個(gè)重要的參數(shù),選擇過(guò)低就會(huì)無(wú)法保證輸出額定電壓。選擇過(guò)大又會(huì)造成延遲角加大,功率因數(shù)變壞,整流元件的耐壓升高,增加了裝置的成本。一般可按下式計(jì)算,即: (3.5)式中Udmax -整流電路輸出電壓最大值;nUT -主電路電流回路n個(gè)晶閘管正向壓降;C - 線(xiàn)路接線(xiàn)方式系數(shù);Usk -變壓器的短路比,對(duì)10100kVA,Usk =0.050.1;I2/I2N-變壓器二次實(shí)際工作電流與額定之比,應(yīng)取最大值。在要求不高場(chǎng)合或近似估算時(shí),可用下式計(jì)算,即: (3.6) 式中A-理想情況下,=0 時(shí)整流電壓Ud0與二次電壓U2之比,即A=Ud0/U2;B-延遲角為時(shí)輸出電壓Ud與Ud0之比,即B=Ud/Ud0;-電網(wǎng)波動(dòng)系數(shù);11.2考慮各種因數(shù)的安全系數(shù);根據(jù)設(shè)計(jì)要求,采用公式2.6,由表查得 A=2.34;取 = 0.9;角考慮10 裕量,則 B= cos = 0.985取U2=8 V。電壓比K= U1/U2= 380/8 =47.5。3.2.2一次、二次相電流I1、I2的計(jì)算由表查得 KI1 = 0.816,KI2 = 0.816考慮變壓器勵(lì)磁電流得: 3.2.3變壓器容量的計(jì)算 S1=m1U1I1; (3.7)S2=m2U2I2; (3.8) S=1/2(S1+S2); (3.9)式中m1、m2 -一次側(cè)與二次側(cè)繞組的相數(shù);由表查得m1=3,m2=3S1=m1U1I1=33800.05=57 VAS2=m2U2I2=382.448=58.752 VAS=1/2(S1+S2)=1/2(57+58.752)=57.876 VA3.2.4二極管整流橋VD1- VD6的選擇考慮到工廠(chǎng)的交流電電壓值變化較大,其上限值取25V(1+5%)=26.25V,其幅值電壓可達(dá)26.251.414=37V8。山于整流橋中的二極管在承受反向電壓時(shí)由兩只二極管串聯(lián)承擔(dān),因此,選取耐壓為50V、電流為2.5A的整流橋完全可保證安全工作。3.2.5輸入濾波電容C0的選型研究當(dāng)交流電源停電或漏電一個(gè)周期波形時(shí),一般希望整流輸出電壓能維持一定時(shí)間后再開(kāi)始下降,取電源輸出的保持時(shí)間td=10ms。根據(jù)能量守恒定律,在td期間輸出的能量是由輸入電容C1釋放供給的,由公式: (3.10)且考慮留有一定的余量,選濾波電容為4700F。3.2.6平波電抗器的設(shè)計(jì)為了使直流負(fù)載得到平滑的直流電流,通常在整流輸出電路中串入帶有氣隙的鐵心電抗器LD,稱(chēng)平波電抗器。其主要參數(shù)有流過(guò)電抗器的電流一般是已知的,因此電抗器參數(shù)計(jì)算主要是電感量的計(jì)算。電動(dòng)機(jī)電感量LD(單位為mH)可按下式計(jì)算: (3.11)式中UD、ID、n直流電動(dòng)機(jī)電壓、電流

注意事項(xiàng)

本文(【畢業(yè)論文設(shè)計(jì)】基于DSP控制的雙閉環(huán)直流調(diào)速系統(tǒng)設(shè)計(jì))為本站會(huì)員(仙***)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話(huà):18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶(hù)上傳的文檔直接被用戶(hù)下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!