歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > PPT文檔下載  

計(jì)算機(jī)組成原理第1章.ppt

  • 資源ID:2893083       資源大?。?span id="k3cr87q" class="font-tahoma">687KB        全文頁數(shù):55頁
  • 資源格式: PPT        下載積分:14.9積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要14.9積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說明有答案則都視為沒有答案,請(qǐng)知曉。

計(jì)算機(jī)組成原理第1章.ppt

計(jì)算機(jī)組成原理,余曉容 Email:xryu 電 話:13613831539,為什么要學(xué)習(xí)這門課程?,計(jì)算機(jī)組成原理是研究計(jì)算機(jī)硬件技術(shù)的基礎(chǔ)知識(shí)、基本思想和基本方法的課程,是計(jì)算機(jī)專業(yè)本科生的一門統(tǒng)設(shè)必修的專業(yè)基礎(chǔ)課程; 不是只有設(shè)計(jì)計(jì)算機(jī)硬件系統(tǒng)的人才要學(xué)這門課,計(jì)算機(jī)專業(yè)的人員都應(yīng)該對(duì)其有所了解; 是學(xué)習(xí)后續(xù)多門課程 (系統(tǒng)結(jié)構(gòu), 操作系統(tǒng),編譯原理,高級(jí)語言程序設(shè)計(jì), 計(jì)算機(jī)網(wǎng)絡(luò),數(shù)據(jù)庫) 的基礎(chǔ)。 計(jì)算機(jī)考研專業(yè)基礎(chǔ)課統(tǒng)考科目包括數(shù)據(jù)結(jié)構(gòu)、計(jì)算機(jī)組成原理(45分)、操作系統(tǒng)和計(jì)算機(jī)網(wǎng)絡(luò)。,學(xué)習(xí)目標(biāo),理解單處理器計(jì)算機(jī)系統(tǒng)中各部件的內(nèi)部工作原理、組成結(jié)構(gòu)以及相互連接方式,具有完整的計(jì)算機(jī)系統(tǒng)的整機(jī)概念。 理解計(jì)算機(jī)系統(tǒng)層次化結(jié)構(gòu)概念,熟悉硬件與軟件之間的界面,掌握指令集體系結(jié)構(gòu)的基本知識(shí)和基本實(shí)現(xiàn)方法。 能夠運(yùn)用計(jì)算機(jī)組成的基本原理和基本方法,對(duì)有關(guān)計(jì)算機(jī)硬件系統(tǒng)中的理論和實(shí)際問題進(jìn)行計(jì)算、分析,并能對(duì)一些基本部件進(jìn)行簡單設(shè)計(jì)。,學(xué)習(xí)方法及考評(píng)辦法,學(xué)習(xí)方法 課前預(yù)習(xí) 課堂認(rèn)真聽講 課后獨(dú)立完成作業(yè) 考評(píng)辦法 理論考核占70% 作業(yè)占15% 課堂占15%,教材及參考資料,教材 計(jì)算機(jī)組成原理(第2版), 唐朔飛著,高等教育出版社 參考書目 計(jì)算機(jī)組成與設(shè)計(jì), 王誠著 清華大學(xué)出版社 計(jì)算機(jī)硬件及組成原理,Arnold S. Berger 著,吳為民等譯,機(jī)械工業(yè)出版社 計(jì)算機(jī)組成原理(第3版),白中英,科學(xué)出版社,2000,1 計(jì)算機(jī)系統(tǒng)概論,1.1 計(jì)算機(jī)系統(tǒng)簡介,1.3 計(jì)算機(jī)硬件的主要技術(shù)指標(biāo),1.2 計(jì)算機(jī)的基本組成,1.4 課程內(nèi)容簡介,1.1 計(jì)算機(jī)系統(tǒng)簡介,一、計(jì)算機(jī)的軟硬件概念 1、計(jì)算機(jī)硬件(Hardware) 計(jì)算機(jī)系統(tǒng)使用的電子線路和電子元件等物理設(shè)備的總稱,是一切構(gòu)成計(jì)算機(jī)的物理實(shí)體,如主機(jī)、外設(shè)。硬件是構(gòu)成計(jì)算機(jī)的物質(zhì)基礎(chǔ),是計(jì)算機(jī)系統(tǒng)的核心。 半個(gè)世紀(jì)以來,計(jì)算機(jī)已發(fā)展為一個(gè)龐大的家族,盡管各種類型的計(jì)算機(jī)的性能、結(jié)構(gòu)、應(yīng)用等方面存在著差別,但是它們的基本組成結(jié)構(gòu)卻是相同的。計(jì)算機(jī)硬件系統(tǒng)由運(yùn)算器、控制器、存儲(chǔ)器、輸入設(shè)備、輸出設(shè)備五部分構(gòu)成。,1.1,計(jì)算機(jī)硬件系統(tǒng)組成圖,1.1,運(yùn)算器 在計(jì)算機(jī)中,運(yùn)算器是一種執(zhí)行部件。其主要任務(wù)是完成信息的加工處理。這些處理主要有加、減、乘、除等算術(shù)運(yùn)算和與、或、非、異或、同或等邏輯運(yùn)算。運(yùn)算器主要由運(yùn)算邏輯單元ALU和寄存器兩部分組成,寄存器部件用來提供參與運(yùn)算的操作數(shù),并暫時(shí)存放運(yùn)算結(jié)果。 存儲(chǔ)器 主要功能是存放程序與數(shù)據(jù)。程序是計(jì)算機(jī)操作的依據(jù),數(shù)據(jù)是計(jì)算機(jī)操作的對(duì)象。存儲(chǔ)器主要由存儲(chǔ)體、地址寄存器與譯碼線路、讀寫電路與數(shù)據(jù)寄存器、控制線路等構(gòu)成,包括ROM(只讀存儲(chǔ)器)和RAM(隨機(jī)存取存儲(chǔ)器)兩種。,1.1,控制器 控制器是計(jì)算機(jī)的控制中心??刂破髯鳛镃PU(中央處理器)的核心部件主要用來協(xié)調(diào)計(jì)算機(jī)的工作,完成程序信息的處理和數(shù)據(jù)的輸入/輸出。 輸入輸出設(shè)備 輸入設(shè)備的任務(wù)是輸入用戶提供的原始信息,并且將其轉(zhuǎn)換為計(jì)算機(jī)能識(shí)別的信息。常用的輸入設(shè)備有:鍵盤、鼠標(biāo)、掃描儀等。 輸出設(shè)備的任務(wù)是將計(jì)算機(jī)的處理結(jié)果以能被人們接受的或能為其他計(jì)算機(jī)所接受的形式輸出。常用的輸出設(shè)備有:打印機(jī)、顯示器、繪圖儀等。,1.1,2、軟件 由人們事先編制的具有各類特殊功能的程序組成,語言處理程序,操作系統(tǒng),服務(wù)性程序,數(shù)據(jù)庫管理系統(tǒng),網(wǎng)絡(luò)軟件,軟 件,應(yīng)用軟件,系統(tǒng)軟件,管理硬件與軟件資源的程序,同時(shí)也是計(jì)算機(jī)系統(tǒng)的內(nèi)核與基石(包括進(jìn)程與處理機(jī)管理、作業(yè)管理、存儲(chǔ)管理、設(shè)備管理、文件管理),1.1,二、計(jì)算機(jī)系統(tǒng)的層次結(jié)構(gòu) 1、計(jì)算機(jī)的解題過程,計(jì)算機(jī),2、層次結(jié)構(gòu),高級(jí)語言,虛擬機(jī)器 M3,匯編語言,虛擬機(jī)器 M2,機(jī)器語言,實(shí)際機(jī)器 M1,微指令系統(tǒng),微程序機(jī)器 M0,應(yīng)用層,虛擬機(jī)器 M4,1.1,用編譯程序翻譯 成匯編語言程序,用匯編程序翻譯 成機(jī)器語言程序,用機(jī)器語言解釋操作系統(tǒng),用微指令解釋機(jī)器指令,由硬件直接執(zhí)行微指令,1.1,1.1,三、計(jì)算機(jī)體系結(jié)構(gòu)和計(jì)算機(jī)組成,程序員所見到的計(jì)算機(jī)系統(tǒng)的屬性 概念性的結(jié)構(gòu)與功能特性,實(shí)現(xiàn)計(jì)算機(jī)體系結(jié)構(gòu)所體現(xiàn)的屬性,有無乘法指令,如何實(shí)現(xiàn)乘法指令,(指令系統(tǒng)、數(shù)據(jù)類型、尋址技術(shù)、I/O機(jī)理),(具體指令的實(shí)現(xiàn)),1.2 計(jì)算機(jī)的基本組成,一、馮諾依曼計(jì)算機(jī) 基本思想:計(jì)算機(jī)要自動(dòng)完成解題任務(wù),必須將事先設(shè)計(jì)好的用以描述計(jì)算機(jī)解題過程的程序如同數(shù)據(jù)一樣用二進(jìn)制形式儲(chǔ)存在機(jī)器中,計(jì)算機(jī)在工作時(shí)從機(jī)器中逐條取出指令加以執(zhí)行。,1.2,馮諾依曼計(jì)算機(jī)特點(diǎn),1. 計(jì)算機(jī)由五大部件組成,3. 指令和數(shù)據(jù)用二進(jìn)制表示,4. 指令由操作碼和地址碼組成,6. 以運(yùn)算器為中心,5. 指令在存儲(chǔ)器內(nèi)按順序存放,1.2,二、計(jì)算機(jī)的硬件框圖 典型的馮諾依曼計(jì)算機(jī)結(jié)構(gòu)圖(以運(yùn)算器為中心),1.2,以存儲(chǔ)器為中心的計(jì)算機(jī)硬件框圖,1.2,現(xiàn)代計(jì)算機(jī)組成框圖,1.2,三、計(jì)算機(jī)的工作步驟,1.上機(jī)前的準(zhǔn)備,建立數(shù)學(xué)模型,編制解題程序,確定計(jì)算方法,程序 運(yùn)算的 全部步驟,指令 每 一個(gè)步驟,(n=0,1,2,),1.2,取x 至運(yùn)算器中,乘以x 在運(yùn)算器中,乘以a 在運(yùn)算器中,存ax2 在存儲(chǔ)器中,取b 至運(yùn)算器中,乘以x 在運(yùn)算器中,加ax2 在運(yùn)算器中,加c 在運(yùn)算器中,= (ax + b)x + c,取x 至運(yùn)算器中,乘以a 在運(yùn)算器中,加b 在運(yùn)算器中,乘以x 在運(yùn)算器中,加c 在運(yùn)算器中,計(jì)算 ax2 + bx + c,1.2,000001 0000001000,打印 ,停機(jī),取數(shù) ,存數(shù) ,加 ,乘 ,ACC ACC, 打印機(jī),指令格式舉例,1.2,計(jì)算 ax2 + bx + c 程序清單,注:此例中用到的a、b、c和x值需事先存入存儲(chǔ)器的相應(yīng)單元內(nèi),1.2,2.計(jì)算機(jī)的解題過程,細(xì)化的計(jì)算機(jī)組成框圖,存儲(chǔ)體,大樓,存儲(chǔ)單元 存放一串二進(jìn)制代碼,存儲(chǔ)字 存儲(chǔ)單元中二進(jìn)制代碼的組合,存儲(chǔ)字長 存儲(chǔ)單元中二進(jìn)制代碼的位數(shù),每個(gè)存儲(chǔ)單元賦予一個(gè)地址號(hào),按地址存取, 存儲(chǔ)單元, 存儲(chǔ)元件,(0/1), 房間, 床位,(無人/ 有人),(1)主存儲(chǔ)器的基本組成,1.2,MAR,MDR,存儲(chǔ)器地址寄存器 位數(shù)反映存儲(chǔ)單元的個(gè)數(shù),存儲(chǔ)器數(shù)據(jù)寄存器 位數(shù)反映存儲(chǔ)字長,1.2,(2)運(yùn)算器的基本組成及操作過程,被加數(shù),被減數(shù),被除數(shù),乘數(shù),商,加數(shù),減數(shù),被乘數(shù),除數(shù),加法,減法,乘法,除法,和,差,余數(shù),1.2, 加法操作過程,1.2, 減法操作過程,1.2, 乘法操作過程,1.2, 除法操作過程,1.2,內(nèi)容回顧,計(jì)算機(jī)系統(tǒng)分硬件、軟件兩部分,由五個(gè)層次構(gòu)成; 計(jì)算機(jī)體系結(jié)構(gòu)與計(jì)算機(jī)組成的區(qū)別; 馮諾依曼計(jì)算機(jī)的基本思想; 計(jì)算機(jī)的基本組成;,內(nèi)容回顧,取指令,分析指令,執(zhí)行指令,PC,IR,CU,取指,執(zhí)行,IR 存放當(dāng)前欲執(zhí)行的指令,完成 一條 指令,(3)控制器的基本組成,1.2,以取數(shù)指令為例,1.2,(4)主機(jī)完成一條指令的過程,以存數(shù)指令為例,1.2,(5) ax2 + bx + c 程序的運(yùn)行過程,將程序通過輸入設(shè)備送至計(jì)算機(jī),程序首地址,打印結(jié)果,分析指令,取指令,停機(jī),啟動(dòng)程序運(yùn)行,執(zhí)行指令,MAR,M,MDR,IR,PC,CU,OP(IR),Ad(IR),MAR,M,MDR,ACC,PC,1.2,以計(jì)算從1到10的累加和為例,看一看3個(gè)級(jí)別語言的程序 C+程序 匯編語言程序 機(jī)器語言程序(16進(jìn)制) sum=0 2000: sub R15, R15 01FF for(i=0;i10;i+) sub R1, R1 0111 sum=sum+i; mvrd R0, 0A 8800 000A printf(“%dn”,sum); 2003: inc R1 0910 return; add R15, R1 00F1 cmp R1, R0 0310 jrnz 2003 47FC cala 0664 CE00 0064 ret 8F00,三個(gè)級(jí)別的程序設(shè)計(jì)語言,1.2,以計(jì)算從1到10的累加和為例,看一看3個(gè)級(jí)別語言的程序 BASIC程序 匯編語言程序 機(jī)器語言程序(16進(jìn)制) 10 sum=0 2000: sub R15, R15 01FF 20 for i=1 to 10 sub R1, R1 0111 30 sum=sum+i mvrd R0, 0A 8800 000A 40 next i 2003: inc R1 0910 50 print sum add R15, R1 00F1 60 end cmp R1, R0 0310 jrnz 2003 47FC cala 0664 CE00 0064 ret 8F00,三個(gè)級(jí)別的程序設(shè)計(jì)語言,1.2,1.3 計(jì)算機(jī)硬件的主要技術(shù)性能指標(biāo),1.機(jī)器字長,CPU一次能處理數(shù)據(jù)的位數(shù)與CPU中的寄存器位數(shù)有關(guān),響應(yīng)時(shí)間:從事件開始到事件結(jié)束的時(shí)間, 又稱執(zhí)行時(shí)間。,2. 吞吐量、響應(yīng)時(shí)間,吞吐量:單位時(shí)間內(nèi)的數(shù)據(jù)輸出數(shù)量。,1.3,CPU執(zhí)行時(shí)間:TCPU=InCPITC In:執(zhí)行程序中指令的總數(shù) CPI:執(zhí)行每條指令所需的平均時(shí)鐘周期數(shù) TC:時(shí)鐘周期時(shí)間的長度,3. CPU時(shí)鐘周期、主頻、CPU執(zhí)行時(shí)間,CPU時(shí)鐘周期:機(jī)器主頻的倒數(shù),Tc,主頻:CPU工作主時(shí)鐘的頻率,機(jī)器主頻Rc,4.運(yùn)算速度,影響因素:主頻、操作、主存速度等,CPI 執(zhí)行一條指令所需時(shí)鐘周期數(shù),每秒浮點(diǎn)運(yùn)算百萬次數(shù),MFLOPS,衡量方式,普通法 完成一次加法或乘法時(shí)間,1.3,221 = 256 KB,5.存儲(chǔ)容量,主存容量,輔存容量,存儲(chǔ)單元個(gè)數(shù) 存儲(chǔ)字長,字節(jié)數(shù),字節(jié)數(shù) 80 GB,如 MAR MDR 容量,10 8,16 32,存放二進(jìn)制信息的總位數(shù),1 K 8位,64 K 32位,1.3,1.4 課程內(nèi)容簡介,計(jì)算機(jī)系統(tǒng)概論(1、2章) 計(jì)算機(jī)系統(tǒng)的硬件結(jié)構(gòu)(3、4、5章) 中央處理器(CPU) 控制單元(CU),計(jì)算機(jī)系統(tǒng)的硬件結(jié)構(gòu) 第三章 系統(tǒng)總線(34個(gè)課時(shí)) 內(nèi)容 總線基本概念、總線分類、總線特性和性能指標(biāo)、總線結(jié)構(gòu)和總線控制; 要求 了解總線的基本概念,在此基礎(chǔ)上了解總線的發(fā)展、分類和各類總線標(biāo)準(zhǔn),理解總線的兩類結(jié)構(gòu)即單總線結(jié)構(gòu)和多總線結(jié)構(gòu),重點(diǎn)需要掌握總線為解決眾多部件爭用總線而進(jìn)行的判優(yōu)控制和通信控制的控制原理。,1.4,第四章 存儲(chǔ)器(1416個(gè)課時(shí)) 內(nèi)容 存儲(chǔ)器分類和存儲(chǔ)器的層次結(jié)構(gòu); 主存儲(chǔ)器(包括半導(dǎo)體存儲(chǔ)芯片簡介、靜態(tài)隨機(jī)存取存儲(chǔ)器和動(dòng)態(tài)隨機(jī)存取存儲(chǔ)器 、只讀存儲(chǔ)器、存儲(chǔ)器與CPU連接、存儲(chǔ)器校驗(yàn)、提高訪存速度的措施); 高速緩沖存儲(chǔ)器(Cache)(包括Cache的基本結(jié)構(gòu)及工作原理、Cache-主存地址映像、替換算法); 輔助存儲(chǔ)器(包括輔助存儲(chǔ)器的特點(diǎn)及主要技術(shù)指標(biāo)、磁記錄原理和記錄方式、磁盤存儲(chǔ)器的結(jié)構(gòu)、光盤存儲(chǔ)器的存取原理); 要求 必須掌握各類存儲(chǔ)器的工作原理,以及各類存儲(chǔ)器在存儲(chǔ)器的層次結(jié)構(gòu)中各自起的作用。,1.4,第五章 輸入輸出系統(tǒng)(8個(gè)課時(shí)) 內(nèi)容 輸入輸出系統(tǒng)的發(fā)展概況及組成、I/O與主機(jī)的編址方式、傳送方式、聯(lián)絡(luò)方式以及設(shè)備尋址;外部設(shè)備分類及簡介;I/O接口的功能及基本組成;程序查詢方式的工作原理及程序查詢接口電路;程序中斷方式的工作原理及程序中斷接口電路、中斷服務(wù)流程;直接存?。―irect Memory Access )方式的特點(diǎn)、DMA接口電路的功能、組成、類型及DMA 的工作過程; 要求 掌握主機(jī)與I/O交換的三種控制方式,即程序查詢、程序中斷和DMA,以及它們各自所需的硬件及軟件支持 ;,1.4,中央處理器 第六章 計(jì)算機(jī)的運(yùn)算方法(16個(gè)課時(shí)) 內(nèi)容 計(jì)算機(jī)中有符號(hào)數(shù)(原碼、補(bǔ)碼、反碼、移碼)和無符號(hào)數(shù)的表示;計(jì)算機(jī)中數(shù)的定點(diǎn)表示和浮點(diǎn)表示;定點(diǎn)運(yùn)算;浮點(diǎn)四則運(yùn)算;算術(shù)邏輯單元; 要求 掌握計(jì)算機(jī)中有符號(hào)數(shù)、無符號(hào)數(shù)、定點(diǎn)數(shù)和浮點(diǎn)數(shù)的各種表示,以及移位、定點(diǎn)補(bǔ)碼加減運(yùn)算、定點(diǎn)原碼一位乘和兩位乘及補(bǔ)碼Booth算法、定點(diǎn)原碼和補(bǔ)碼加減交替除法,以及浮點(diǎn)補(bǔ)碼加減運(yùn)算。了解不同的運(yùn)算方法對(duì)運(yùn)算器結(jié)構(gòu)的影響,以及提高運(yùn)算速度采取的各種措施,包括快速進(jìn)位鏈的設(shè)計(jì)方法 ;,1.4,第七章 指令系統(tǒng)(8個(gè)課時(shí)) 內(nèi)容 機(jī)器指令的一般格式;操作數(shù)類型和操作類型;指令的地址格式和尋址方式;指令格式舉例;精簡指令系統(tǒng)計(jì)算機(jī)技術(shù)(Reduced Instruction Set Computer,即 RISC); 要求 要求掌握不同的尋址方式對(duì)操作數(shù)尋址范圍以及對(duì)編程的影響,掌握不同的尋址方式所要求的硬件和信息的加工過程;還應(yīng)了解RISC的主要特點(diǎn)及其與復(fù)雜指令系統(tǒng)計(jì)算機(jī)技術(shù)(Complex Instruction Set Computer,即 CISC)的區(qū)別 ;,1.4,第八章 CPU的結(jié)構(gòu)和功能(6個(gè)課時(shí)) 內(nèi)容 CPU的功能及結(jié)構(gòu)框圖;指令周期;指令流水;中斷系統(tǒng)(包括引起中斷的各種因素,中斷系統(tǒng)所需解決的共性問題,如:中斷請(qǐng)求標(biāo)記、中斷判優(yōu)、中斷響應(yīng)、中斷服務(wù)、中斷返回、多重中斷等); 要求 掌握機(jī)器完成一條指令的全過程、中斷技術(shù)在提高整機(jī)效能方面所起的作用,以及流水技術(shù)。,1.4,控制單元 第九章 控制單元的功能(6個(gè)課時(shí)) 內(nèi)容 微操作命令的分析(按取指周期、間指周期、執(zhí)行周期和中斷周期分析不同指令的微操作命令);控制單元的外特性;多級(jí)時(shí)序系統(tǒng);控制方式;控制信號(hào)實(shí)例分析 ; 要求 理解控制單元為完成不同指令所發(fā)出的各種操作命令,以及指令周期、機(jī)器周期、時(shí)鐘周期與操作命令的關(guān)系。,1.4,第十章 控制單元的設(shè)計(jì)(6個(gè)課時(shí)) 內(nèi)容 組合邏輯設(shè)計(jì);組合邏輯控制單元框圖、微操作節(jié)拍安排、組合邏輯設(shè)計(jì)舉例;微程序設(shè)計(jì);微程序控制單元框圖及工作原理、微指令的編碼方式、微指令地址的形成方式、微指令格式、微程序設(shè)計(jì)舉例; 要求 初步掌握控制單元的兩種設(shè)計(jì)方法,進(jìn)一步理解組合邏輯控制器和微程序控制器在設(shè)計(jì)思想、硬件組成及其工作原理方面的不同;結(jié)合時(shí)序系統(tǒng)的概念,學(xué)會(huì)按不同指令要求,寫出相應(yīng)的微操作命令及節(jié)拍安排。,1.4,作業(yè),1.4、1.9、1.11,QUESTION?,

注意事項(xiàng)

本文(計(jì)算機(jī)組成原理第1章.ppt)為本站會(huì)員(max****ui)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!