歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > PPT文檔下載  

數(shù)字電路與邏輯設(shè)計(jì)第四章.ppt

  • 資源ID:2836303       資源大?。?span id="m8fbg3k" class="font-tahoma">1.11MB        全文頁數(shù):35頁
  • 資源格式: PPT        下載積分:9.9積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要9.9積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說明有答案則都視為沒有答案,請(qǐng)知曉。

數(shù)字電路與邏輯設(shè)計(jì)第四章.ppt

第4章 時(shí)序邏輯電路,本章提要 本章主要介紹時(shí)序邏輯電路的特點(diǎn)、時(shí)序邏輯電路邏輯功能的描述方法;觸發(fā)器的基本描述方法、不同觸發(fā)器的工作特點(diǎn)、常見的集成觸發(fā)器的應(yīng)用以及時(shí)序邏輯電路的設(shè)計(jì)和分析方法。 本章難點(diǎn) 時(shí)序邏輯電路的分析和設(shè)計(jì)方法。,4.1 時(shí)序邏輯電路基礎(chǔ),數(shù)字電路按邏輯功能和電路組成的特點(diǎn)的不同可分為兩大類,一類是前面所介紹的組合邏輯電路,另一類就是時(shí)序邏輯電路。 在數(shù)字電路中,任一時(shí)刻的穩(wěn)定輸出不僅取決于該時(shí)刻的輸入,而且還和電路原來狀態(tài)有關(guān)的電路叫做時(shí)序邏輯電路,簡稱時(shí)序電路。,4.1.1 時(shí)序邏輯電路一般模型,組合邏輯電路,存儲(chǔ)電路,4.1.2 時(shí)序邏輯電路的表示方法,1邏輯表達(dá)式,X(x1,x2,x3xi)、Y(y1,y2,y3yj)、W(w 1,w 2,w 3w K)和Q(q1,q2,q3,qg),分別代表時(shí)序電路的現(xiàn)在輸入信號(hào)、現(xiàn)在輸出信號(hào)、存儲(chǔ)電路的現(xiàn)在輸入和輸出信號(hào),那么,這些信號(hào)之間的邏輯關(guān)系就可以用下面三個(gè)關(guān)系式表示: Y(tn)=FX(tn),Q(tn) (1) W(tn)=GX(tn),Q(tn) (2) Q(tn+1)=FX(tn),Q(tn) (3) 式中tn、tn+1是相鄰的兩個(gè)離散時(shí)間。關(guān)系式(1)為輸出方程,Y為電路的輸出信號(hào);關(guān)系式(2)為驅(qū)動(dòng)方程或激勵(lì)方程,W為存儲(chǔ)電路的驅(qū)動(dòng)或激勵(lì)信號(hào);關(guān)系式(3)為狀態(tài)方程,Q為存儲(chǔ)電路的狀態(tài),稱狀態(tài)變量。,2狀態(tài)表 若以表格的形式來描述時(shí)序邏輯電路的邏輯功能,并能具體直觀的表達(dá)時(shí)序邏輯電路各個(gè)信號(hào)之間對(duì)應(yīng)的取值關(guān)系,即將之稱為狀態(tài)表。,3狀態(tài)圖 若以幾何圖形的形式來描述時(shí)序邏輯電路的邏輯功能,并能具體直觀的表達(dá)時(shí)序邏輯電路狀態(tài)轉(zhuǎn)換規(guī)律及相應(yīng)輸入、輸出取值情況,即將之稱為狀態(tài)圖。,4時(shí)序圖 反映時(shí)鐘脈沖CP、輸入信號(hào)和時(shí)序邏輯電路各個(gè)狀態(tài)之間在時(shí)間上的對(duì)應(yīng)關(guān)系的工作波形叫做時(shí)序圖。,4.1.3 時(shí)序邏輯電路一般分類,1按時(shí)序邏輯電路的邏輯功能來分 時(shí)序電路按邏輯功能可分為計(jì)數(shù)器、寄存器、移位寄存器、讀/寫存儲(chǔ)器和順序脈沖發(fā)生器等。事實(shí)上,在實(shí)際生產(chǎn)生活及科研活動(dòng)中,完成各種操作的時(shí)序邏輯電路是千變?nèi)f化不勝枚舉的,此處提到的只是比較典型的幾種電路而已。,2按時(shí)序電路中觸發(fā)器的狀態(tài)變化分 時(shí)序電路按電路中觸發(fā)器的狀態(tài)變化可分為同步時(shí)序邏輯電路和異步時(shí)序邏輯電路。 同步時(shí)序邏輯電路:是同步電路狀態(tài)改變時(shí),電路中要更新狀態(tài)的觸發(fā)器是同時(shí)翻轉(zhuǎn)的。在這種時(shí)序電路中,觸發(fā)器的狀態(tài)改變是同一個(gè)時(shí)鐘脈沖控制的,即各個(gè)觸發(fā)器的CP時(shí)鐘信號(hào)都是同一輸入時(shí)鐘脈沖。 異步時(shí)序邏輯電路:電路狀態(tài)改變時(shí),電路中要更新狀態(tài)的觸發(fā)器有的先翻轉(zhuǎn),有的后翻轉(zhuǎn),是異步進(jìn)行的。在這種時(shí)序電路中,有的觸發(fā)器以輸入信號(hào)作為其CP脈沖,有的觸發(fā)器以其他觸發(fā)器的輸出作為CP脈沖。 此外,還有按輸出除與電路的現(xiàn)態(tài)有關(guān),是否還與電路的輸入信號(hào)有關(guān),可分為Moore型和Mealy型時(shí)序電路等不同的分類方法。,5卡諾圖 利用卡諾圖也可描述時(shí)序邏輯電路的邏輯功能。,4.2 觸 發(fā) 器,4.2.1 概述 觸發(fā)器是功能最簡單的時(shí)序邏輯電路,一般情況下僅當(dāng)作基本單元電路處理。,1對(duì)觸發(fā)器的基本要求 在數(shù)字電路中,基本的工作信號(hào)是二進(jìn)制數(shù)字信號(hào)和兩狀態(tài)邏輯信號(hào),而觸發(fā)器就是存放這些信號(hào)的邏輯單元。由于二進(jìn)制數(shù)字信號(hào)和兩狀態(tài)邏輯信號(hào)都只有0、1兩種可能取值,即都具有兩種狀態(tài)性質(zhì)所以對(duì)作為存放這些信號(hào)的基本單元電路觸發(fā)器的基本要求是: (1)應(yīng)該具有兩個(gè)穩(wěn)定狀態(tài)0狀態(tài)和1狀態(tài),以正確表征其存儲(chǔ)的內(nèi)容。 (2)能夠接收、保存和輸出信號(hào)。,2觸發(fā)器的現(xiàn)態(tài)和次態(tài) 觸發(fā)器接收信號(hào)之前的狀態(tài)叫作現(xiàn)態(tài),用Qn表示。觸發(fā)器接收信號(hào)之后的狀態(tài)叫次態(tài),用Qn+1表示?,F(xiàn)態(tài)和次態(tài)是兩個(gè)相鄰時(shí)間里觸發(fā)器輸出端的狀態(tài)。 觸發(fā)器次態(tài)輸出Qn+1與現(xiàn)態(tài)Qn和輸入信號(hào)之間的邏輯關(guān)系,是貫穿觸發(fā)器的基本問題,如何描述和理解這種邏輯關(guān)系,是學(xué)習(xí)觸發(fā)器的中心任務(wù),也為如何分析和設(shè)計(jì)時(shí)序邏輯電路打好基礎(chǔ)。,3觸發(fā)器的分類 (1)按照電路結(jié)構(gòu)和工作特點(diǎn)分類 此分類有基本觸發(fā)器、同步觸發(fā)器、主從觸發(fā)器和邊沿觸發(fā)器。 基本觸發(fā)器:在這種電路中,輸入信號(hào)是直接加到輸入端的。它是觸發(fā)器的基本電路結(jié)構(gòu)形式,是構(gòu)成其他類型觸發(fā)器的基礎(chǔ)。 同步觸發(fā)器:在這種電路中,輸入信號(hào)是經(jīng)過控制門輸入的,而管理控制門的信號(hào)是時(shí)鐘脈沖CP信號(hào),只有在CP脈沖信號(hào)到來時(shí),輸入信號(hào)才能進(jìn)入觸發(fā)器,否則就會(huì)被拒之門外,對(duì)電路不起作用。 主從觸發(fā)器:為了克服同步觸發(fā)器存在的缺點(diǎn),對(duì)其改進(jìn)后得到主從觸發(fā)器。先把輸入信號(hào)接收進(jìn)主觸發(fā)器,然后再送給從觸發(fā)器并輸出,整個(gè)過程是分兩步進(jìn)行的,具有主從控制特點(diǎn)。 邊沿觸發(fā)器:為了進(jìn)一步解決主從觸發(fā)器存在的缺點(diǎn),從而出現(xiàn)了邊沿觸發(fā)器,在這種觸發(fā)器中,只有在時(shí)鐘脈沖的上升沿或下降沿時(shí)刻,輸入信號(hào)才能被接收,進(jìn)一步減少了被干擾的機(jī)會(huì)。 (2)按照在時(shí)鐘脈沖控制下觸發(fā)器的邏輯功能的不同分類 根據(jù)此分類方法,時(shí)鐘觸發(fā)器可分為RS觸發(fā)器、JK觸發(fā)器、D觸發(fā)器、T觸發(fā)器和T / 觸發(fā)器五種類型。 此外,還有一些其他的分類,如按是否集成有分立元件觸發(fā)器和集成觸發(fā)器之分;按使用的開關(guān)元件不同,有TTL觸發(fā)器和CMOS觸發(fā)器之分。,4.2.2 基本RS觸發(fā)器,1電路組成及邏輯符號(hào) 下圖所示是用兩個(gè)與非門交叉連接起來構(gòu)成的基本RS觸發(fā)器。 R 、S 為信號(hào)輸入端,Q 、 表示觸發(fā)器的狀態(tài),是兩個(gè)互補(bǔ)的信號(hào)輸出端。,基本RS觸發(fā)器慣用符號(hào),2工作原理 (1)電路的兩個(gè)穩(wěn)定狀態(tài) 在沒有輸入信號(hào)即R=S=1時(shí),電路有兩個(gè)穩(wěn)定狀態(tài)0狀態(tài)和1狀態(tài)。我們將觸發(fā)器輸出Q=0, 的狀態(tài)定義為0狀態(tài),輸出Q=1, 的狀態(tài)定義為1狀態(tài)。在0狀態(tài)時(shí),由于Q=0送到門G2輸入端使其截止,保證了 ,而 且 又反饋到門G1的輸入端和S=1一起使門G1導(dǎo)通,維持Q=0,因此電路能自動(dòng)保持0狀態(tài)。同理,電路在1狀態(tài)時(shí)也能夠自動(dòng)保持。,(2)接收信號(hào)的過程 若觸發(fā)器處在0狀態(tài)時(shí),我們?cè)赟端送入一個(gè)輸入信號(hào)加一個(gè)負(fù)脈沖,則電路將迅速地轉(zhuǎn)換,翻轉(zhuǎn)到1狀態(tài)。觸發(fā)器便完成了由0狀態(tài)到1狀態(tài)的轉(zhuǎn)換。此時(shí)即使撤消輸入信號(hào),觸發(fā)器也能保持1狀態(tài),而不會(huì)返回0狀態(tài)。因此常把加在輸入端的負(fù)脈沖叫作觸發(fā)脈沖。,若觸發(fā)器處在1狀態(tài)時(shí),我們?cè)赗端送入一個(gè)輸入信號(hào)加一個(gè)負(fù)脈沖,則電路的工作情況類似,觸發(fā)器由1狀態(tài)翻轉(zhuǎn)到0狀態(tài)。 由于在S端加輸入信號(hào)可將且僅可將觸發(fā)器置成1狀態(tài),而在R端加輸入信號(hào)可將且僅可將觸發(fā)器置成0狀態(tài),因此,我們把S端叫做置1端(或置位端),把R端叫做置0端(或復(fù)位端)。,(3)不允許在R、S端同時(shí)加有效輸入信號(hào) 在觸發(fā)器輸入端不允許出現(xiàn)R=S=0的情況。由與非門的基本特性可以知道,當(dāng)R= S =0時(shí), 、Q將同時(shí)為1,作為基本存儲(chǔ)單元,這既不是0狀態(tài)也不是1狀態(tài),沒有意義。而且在當(dāng)R、S同時(shí)由0變?yōu)?(信號(hào)撤消)時(shí),觸發(fā)器轉(zhuǎn)換到何種狀態(tài)不能確定,可能是0狀態(tài)也可能是1狀態(tài),這取決于兩個(gè)與非門動(dòng)態(tài)特性的微小差異和當(dāng)時(shí)的干擾情況等一些無法確定的因素。當(dāng)信號(hào)同時(shí)撤消時(shí),觸發(fā)器狀態(tài)取決于后撤消的信號(hào)。,轉(zhuǎn)至EWB-jbrs,3特性表和卡諾圖 把觸發(fā)器接收信號(hào)之前的狀態(tài)稱為現(xiàn)態(tài),用Qn和 來表示;用Qn+1和 來表示觸發(fā)器接收信號(hào)以后的狀態(tài),稱為次態(tài)。那么Qn+1和Qn、R、S之間的邏輯關(guān)系可以用所謂的狀態(tài)轉(zhuǎn)換表(又叫作特性表)來表示。,特性表,Qn+1的值不僅和R、S有關(guān),還與Qn有關(guān),也即Qn+1的值和R、S、Qn三個(gè)變量有關(guān)。時(shí)序邏輯電路的輸出不僅與當(dāng)時(shí)的輸入有關(guān),而且與電路以前的狀態(tài)有關(guān)。,由表可明顯看出:當(dāng)R =S=1時(shí),觸發(fā)器保持原狀態(tài)不變,也即Qn+1=Qn;當(dāng)R =1,S=0時(shí),觸發(fā)器置1,也即Qn+1=1;當(dāng)R =0,S=1時(shí),觸發(fā)器置0,也即Qn+1=0;而R =S=0是不允許的,屬于不用情況。,Qn、R、S三個(gè)變量的八種取值中,在正常情況下000、100兩種取值是不會(huì)出現(xiàn)的,即最小項(xiàng) 和 是約束項(xiàng)。因此,可畫出卡諾圖。,Q n+1的卡諾圖,特性表是基本觸RS發(fā)器次態(tài)和現(xiàn)態(tài)、輸入之間邏輯關(guān)系的直接表達(dá)形式,它全面地描述了基本RS觸發(fā)器的邏輯功能??ㄖZ圖也可以表達(dá)觸發(fā)器的邏輯功能。,4基本特點(diǎn) 基本RS觸發(fā)器電路簡單,可以存儲(chǔ)二進(jìn)制代碼,是構(gòu)成各種性能更完善的觸發(fā)器的基礎(chǔ)。該觸發(fā)器具有置位(Q=1)、復(fù)位(Q=0)、保持原狀態(tài)三種功能。S是置位輸入端(Set),R是復(fù)位輸入端(Reset),都是低電平有效。具有基本RS觸發(fā)器邏輯功能的集成模塊74LS279是四RS鎖存器,芯片中包含四個(gè)基本RS觸發(fā)器?;綬S觸發(fā)器除了作為其他集成觸發(fā)器中實(shí)現(xiàn)狀態(tài)存儲(chǔ)的基本單元外,還用于實(shí)現(xiàn)集成觸發(fā)器的直接置位(異步置位)和直接復(fù)位(異步復(fù)位)功能。另外也可以用于實(shí)現(xiàn)開關(guān)消抖動(dòng)、鍵盤輸入等功能電路。但是基本RS觸發(fā)器存在直接控制的缺點(diǎn),即在信號(hào)存在期間直接控制著輸出端的狀態(tài),使用的局限性大,且輸入信號(hào)R、S之間有約束。,4.2.3 同步RS觸發(fā)器,2工作原理 從圖示電路可以明顯看出,控制信號(hào)CP=0時(shí),控制門G3、G4被封鎖,基本RS觸發(fā)器保持原來的狀態(tài)不變。只有當(dāng)CP=1時(shí)控制門被打開后,輸入信號(hào)才會(huì)被接收。因此,反映Qn+1的值和R、S、Qn三個(gè)變量之間的邏輯關(guān)系的特性表的條件是CP=1。,1電路的組成及邏輯符號(hào) 為了克服基本RS觸發(fā)器直接控制的缺點(diǎn),可增加兩個(gè)控制門和一 個(gè)時(shí)鐘控制信號(hào)。與非門G1、G2構(gòu)成基本RS觸發(fā)器,與非門G3、G4是控制門,輸入信號(hào)R、S通過控制門進(jìn)行傳送,CP為時(shí)鐘脈沖,是輸入控制信號(hào)。,邏輯符號(hào),同步RS觸發(fā)器特性表,CP=1期間有效,Qn+1和R、S、Qn之間的邏輯關(guān)系也可以用邏輯表達(dá)式反應(yīng)出來,即 特性方程如下:,時(shí)序圖-利用波形圖的形式描述了同步RS觸發(fā)器的邏輯功能次態(tài)Qn+1和現(xiàn)態(tài)Qn及輸入R、S之間的關(guān)系。,同步RS觸發(fā)器的輸入信號(hào)只有在CP=1期間有效,狀態(tài)圖-圈內(nèi)表示觸發(fā)器的狀態(tài)0和1,其他數(shù)字表示RS的組合,箭頭表示現(xiàn)態(tài)向次態(tài)的轉(zhuǎn)換方向。,特性表、特征方程、卡諾圖、時(shí)序圖、狀態(tài)轉(zhuǎn)換圖均可表示觸發(fā)器的邏輯功能,只是表達(dá)形式不一樣。,3主要特點(diǎn) (1)時(shí)鐘電平觸發(fā)-在CP=1期間觸發(fā)器接收信號(hào),CP=0時(shí)觸發(fā)器保持狀態(tài)不變。多個(gè)觸發(fā)器可以在同一個(gè)時(shí)鐘脈沖控制下同步工作。但是在CP=1期間,R、S發(fā)生多次變化,則觸發(fā)器的輸出狀態(tài)也可能發(fā)生多次翻轉(zhuǎn),造成次態(tài)不穩(wěn)定,這種現(xiàn)象叫做空翻??辗且环N有害的現(xiàn)象。,(2)R、S之間有約束 同步RS觸發(fā)器在使用過程中,如果違反了RS=0的約束條件,則可能出現(xiàn)以下情況: 在CP=1期間,若R=S=1,則將出現(xiàn)Q端和 端同時(shí)輸出高電平的不正常的情況;若R、S分時(shí)撤消,則觸發(fā)器的狀態(tài)決定于后撤消者;若R、S同時(shí)從1跳變到0,則會(huì)出現(xiàn)輸出結(jié)果不能確定的情況;若R=S=1時(shí)CP脈沖突然撤消,也會(huì)出現(xiàn)輸出結(jié)果不能確定的情況。,轉(zhuǎn)至EWBtbrs,4.2.4 集成觸發(fā)器(D 觸發(fā)器、JK 觸發(fā)器、T 觸發(fā)器) 各種結(jié)構(gòu)形式的觸發(fā)器都是在基本RS觸發(fā)器的基礎(chǔ)上不斷改進(jìn)電路設(shè)計(jì)后形成的,集成觸發(fā)器通過采用特殊的電路結(jié)構(gòu),如主從式結(jié)構(gòu)、或維持阻塞式結(jié)構(gòu),改電平觸發(fā)方式為邊沿觸發(fā)方式等,使觸發(fā)器只在時(shí)鐘脈沖的上升沿(CP由低電平向高電平的跳變)或時(shí)鐘脈沖的下降沿(CP由高電平向低電平的跳變)響應(yīng)激勵(lì)信號(hào),實(shí)現(xiàn)狀態(tài)轉(zhuǎn)換,克服了空翻現(xiàn)象,提高了抗干擾能力。,一、集成JK 觸發(fā)器 在時(shí)鐘脈沖作用下,根據(jù)輸入信號(hào)J、K取值的不同,凡具有保持、置0、置1、翻轉(zhuǎn)功能的觸發(fā)器,稱為JK觸發(fā)器。JK觸發(fā)器可分為主從型JK觸發(fā)器和邊沿型JK觸發(fā)器。主從型JK觸發(fā)器解決了RS觸發(fā)器的輸入約束問題,邊沿型JK觸發(fā)器解決了空翻問題。,1集成主從JK觸發(fā)器 (1)慣用符號(hào),(2)特性方程,CP下降沿到來時(shí)有效,輸出信號(hào),(3)特性表,(4)狀態(tài)圖 狀態(tài)圖是用幾何圖形反映觸發(fā)器的邏輯關(guān)系的,由狀態(tài)圖也可直觀的觀察出觸發(fā)器輸入輸出之間的邏輯關(guān)系。,圈內(nèi)表示觸發(fā)器的狀態(tài)0和1,其他數(shù)字表示JK的取值,箭頭方向表示由現(xiàn)態(tài)轉(zhuǎn)向次態(tài),(5)主要特點(diǎn) 主從觸發(fā)器具有“主從”結(jié)構(gòu),并以“雙拍”方式工作。,在CP=1時(shí),主觸發(fā)器接受輸入信號(hào),而從觸發(fā)器狀態(tài)不變。在時(shí)鐘CP的下降沿,將主觸發(fā)器的狀態(tài)傳送給從觸發(fā)器,使得 ,并且在CP=0期間保持不變,此時(shí)主觸發(fā)器不接受數(shù)據(jù),克服了空翻現(xiàn)象。, 在CP=1期間觸發(fā)器對(duì)外一直是開放的,J、K信號(hào)本身在CP =1期間保持不變,但還是容易接受干擾信號(hào),因此抗干擾能力還需提高。并且存在一次變化問題。 實(shí)際的JK觸發(fā)器,除了J、K、CP輸入端外,還有異步置0端(RD)和還有異步置1端(SD),它們的作用是使觸發(fā)器在任何時(shí)刻都被強(qiáng)制置0或置1,而與當(dāng)時(shí)的CP、J、K值無關(guān)。,J、K之間沒有約束,是一種應(yīng)用起來十分靈活和方便的時(shí)鐘觸發(fā)器。,2集成邊沿JK觸發(fā)器 (1)慣用符號(hào),下降沿觸發(fā),上升沿觸發(fā),(3)特性表,(4)主要特點(diǎn) 時(shí)鐘脈沖邊沿觸發(fā)。在CP上升沿或下降沿瞬間,加在J端和K端的信號(hào)才會(huì)被接收。 抗干擾能力極強(qiáng),工作速度很高 。只要是在CP脈沖觸發(fā)沿瞬間J、K的值是穩(wěn)定的,觸發(fā)器就能夠可靠的按照特性方程更新狀態(tài)。在其他時(shí)間里,J、K不起作用。又由于是邊沿觸發(fā),所需要輸入信號(hào)建立時(shí)間和保持時(shí)間都很短,所以工作速度快。 功能齊全,使用靈活方便。,二、集成D觸發(fā)器 在時(shí)鐘脈沖控制下,僅具有置0、置1功能的電路,稱為D觸發(fā)器。D觸發(fā)器分為同步D觸發(fā)器和邊沿D觸發(fā)器。同步D觸發(fā)器是在同步RS觸發(fā)器的基礎(chǔ)上改進(jìn)后得到的,解決了同步RS觸發(fā)器中RS之間的約束問題。邊沿D觸發(fā)器是在主從JK觸發(fā)器的基礎(chǔ)上改進(jìn)后得到的,解決了主從JK觸發(fā)器中的一次變化問題。,1集成同步D觸發(fā)器 (1)慣用符號(hào),輸入信號(hào),(2)特性方程 Q n+1=D CP=1期間有效,(3)特性表,(4)狀態(tài)圖,圈內(nèi)表示觸發(fā)器的狀態(tài)0和1,其他數(shù)字表示D的取值。箭頭方向表示從現(xiàn)態(tài)轉(zhuǎn)至次態(tài)。,(5)主要特點(diǎn) 時(shí)鐘電平控制,無約束問題。 時(shí)鐘電平控制,在CP=1期間,若D=1則Q n+1=1;若D=0則Q n+1=0,根據(jù)輸入信號(hào)D取值不同,觸發(fā)器可置1,也可置0。 CP=1期間輸出狀態(tài)跟隨輸入信號(hào),下降沿到來時(shí)鎖存。,在CP=1期間,輸出端Q和 的狀態(tài)隨D變化而變化,起跟隨作用。當(dāng)CP脈沖下降沿到來時(shí)才鎖存,鎖存的內(nèi)容是CP下降沿到來瞬間輸入信號(hào)D的值。, 只具有置0和置1功能。,2集成邊沿D觸發(fā)器 (1)慣用符號(hào),上升沿觸發(fā),下降沿觸發(fā),(2)特性方程 Q n+1=D CP上升沿(或下降沿)時(shí)刻有效,(3)特性表,(4)主要特點(diǎn) 時(shí)鐘脈沖CP邊沿(上升沿或下降沿)觸發(fā)。在CP上升沿(或下降沿)時(shí)刻,觸發(fā)器按照特性方程Qn+1=D更新狀態(tài)。實(shí)際上是將加在D端的信號(hào)鎖存起來,并送到輸出端。 抗干擾能力極強(qiáng)。因?yàn)槭沁呇赜|發(fā),只要在觸發(fā)邊沿附近一個(gè)極短暫的時(shí)間內(nèi),加在D端的輸入信號(hào)穩(wěn)定,觸發(fā)器就能夠可靠接收,在其他時(shí)間里輸入信號(hào)對(duì)觸發(fā)器不會(huì)起作用。 只具有置0、置1功能。,轉(zhuǎn)至EWBbyd,三、集成T 觸發(fā)器,在時(shí)鐘脈沖控制下,根據(jù)輸入信號(hào)T 值的不同,僅具有保持和翻轉(zhuǎn)功能的電路,稱為T 觸發(fā)器。即當(dāng)T=0時(shí)能保持觸發(fā)器狀態(tài)不變,T=1時(shí)觸發(fā)器狀態(tài)翻轉(zhuǎn)的觸發(fā)器。,(1)慣用符號(hào),(2)特性方程 CP下降沿時(shí)刻有效,(3)特性表,輸入信號(hào),(4)狀態(tài)圖,圖中圓圈表示觸發(fā)器的狀態(tài)0和1,其他數(shù)字表示T 的取值,(5)主要特點(diǎn) T 觸發(fā)器大多由其他類型的觸發(fā)器改接而成,實(shí)際生產(chǎn)的集成電路比較少。比如JK 觸發(fā)器使J=K=T 便成為T 觸發(fā)器。 具有保持和翻轉(zhuǎn)功能。 此外,還有T / 觸發(fā)器,與T 型觸發(fā)器類似。但它在時(shí)鐘脈沖作用下只具有翻轉(zhuǎn)功能,即每來一個(gè)時(shí)鐘脈沖就翻轉(zhuǎn)一次。實(shí)際上在T 型觸發(fā)器中令T=1既可成為T / 型觸發(fā)器。 早期集成觸發(fā)器的品種和類型很多,后來逐漸歸并成兩大類,一種是JK 型觸發(fā)器,另一種是D 型觸發(fā)器。作為小規(guī)模集成觸發(fā)器,它們已經(jīng)能夠滿足各種情況下對(duì)時(shí)鐘觸發(fā)器的需求了。而且,不同類型時(shí)鐘觸發(fā)器之間還可以相互轉(zhuǎn)換,由JK 觸發(fā)器和D 觸發(fā)器這兩種觸發(fā)器,通過轉(zhuǎn)換就可以得到其他類型的觸發(fā)器。,4.2.5 集成觸發(fā)器系列簡介及使用說明,1CMOS集成邊沿D 觸發(fā)器CC4013,(1)慣用符號(hào)及引腳功能圖 CC4013集成了兩個(gè)觸發(fā)器單元,共14引腳。圖中只畫了其中一個(gè)單元。都是CP上升沿觸發(fā)的邊沿D 觸發(fā)器,其中RD(4腳)、SD(6腳)是異步輸入端。也稱為直接復(fù)位端(置1端)和置位端(置0端)。高電平有效。即當(dāng)RD=1時(shí)觸發(fā)器就被強(qiáng)制復(fù)位到0狀態(tài),當(dāng)SD=1時(shí)觸發(fā)器就被強(qiáng)制置位到1狀態(tài)。其作用與CP脈沖無關(guān),所以稱為異步輸入端。一般的集成觸發(fā)器中都有這兩個(gè)端,便于給觸發(fā)器置初始狀態(tài)。其中電源和地是公共的端,其他都是獨(dú)立的。,慣用符號(hào),引腳功能圖,(2)特性表,此表全面描述了CMOS集成邊沿D觸發(fā)器CC4013的邏輯功能。當(dāng)RD=SD=0時(shí),電路按照特性方程轉(zhuǎn)換狀態(tài),CP上升沿時(shí)刻有效;當(dāng)異步輸入端工作時(shí),CP、D均無效,若RDSD=01時(shí)則置1,若RDSD=10時(shí)則置0,RD、SD不能同時(shí)為1,應(yīng)遵循約束條件RDSD=0。,2TTL集成邊沿JK 觸發(fā)器74LS112,(1)慣用符號(hào)及引腳功能,TTL邊沿JK 觸發(fā)器74LS112共集成兩個(gè)觸發(fā)器單元,共16引腳,8和16腳為公共地和電源。圖中只畫出一個(gè)單元。都是時(shí)鐘脈沖CP下降沿觸發(fā)的邊沿JK觸發(fā)器。其中 、 為異步輸入端,低電平有效。,慣用符號(hào),引腳功能圖,(2)特性表,4.3 同步時(shí)序邏輯電路的分析,邏輯電路分析,時(shí)序邏輯電路,狀態(tài)表 狀態(tài)圖 時(shí)序圖,邏輯功能 工作特點(diǎn),4.3.1 同步時(shí)序邏輯電路的分析步驟,各觸發(fā)器時(shí)鐘信號(hào)的邏輯表達(dá)式,各個(gè)輸出信號(hào)的邏輯表達(dá)式,各個(gè)觸發(fā)器輸入端同步輸入信號(hào)的邏輯表達(dá)式,各觸發(fā)器次態(tài)的邏輯表達(dá)式,據(jù)輸入和現(xiàn)態(tài)的各種可能取值,計(jì)算出相應(yīng)的次態(tài)和輸出,4.3.2 同步時(shí)序邏輯電路的分析實(shí)例,例1 分析圖示時(shí)序電路,畫出其狀態(tài)表、狀態(tài)圖和時(shí)序圖,說明其功能。,解:驅(qū)動(dòng)方程為:,D觸發(fā)器的特性方程為: Qn+1=D,狀態(tài)方程為:,根據(jù)狀態(tài)方程進(jìn)行計(jì)算,求取狀態(tài)轉(zhuǎn)換表、狀態(tài)圖,由3個(gè)D觸發(fā)器構(gòu)成的同步時(shí)序邏輯電路,假設(shè)初始狀態(tài) = 000,并以次作為現(xiàn)態(tài),根據(jù)狀態(tài)方程計(jì)算出次態(tài)。再以計(jì)算出的次態(tài)作為現(xiàn)態(tài)進(jìn)行下一個(gè)次態(tài)的計(jì)算,依次類推。注意每個(gè)狀態(tài)都要計(jì)算到,狀態(tài)表,0 0 0,1 0 0,1 0 0,1 1 0,1 1 0,1 1 1,1 1 1,0 1 1,0 1 1,0 0 1,0 0 1,0 0 0,0 1 0,1 0 1,1 0 1,0 1 0,狀態(tài)圖,有效循環(huán),無效循環(huán),現(xiàn)態(tài),次態(tài), 畫時(shí)序圖,該時(shí)序邏輯電路為同步六進(jìn)制計(jì)數(shù)器,電路不能自啟動(dòng) 。,電路邏輯功能:,例2 試分析圖示的時(shí)序邏輯電路。,兩個(gè)觸發(fā)器都接至同一個(gè)時(shí)鐘脈沖CP,同步時(shí)序邏輯電路, 寫出輸出方程, 寫出驅(qū)動(dòng)方程,K0=1,K1=1, 據(jù) 得各觸發(fā)器的狀態(tài)方程(次態(tài)方程),1)當(dāng)X =0時(shí),設(shè)初始狀態(tài),X =0時(shí)的狀態(tài)表,現(xiàn) 態(tài),次 態(tài),輸 出,Z,0 0 0 1 1 0 1 1,0 1 1 0 0 0 0 0,0 0 1 0,X =0時(shí)的狀態(tài)圖, 進(jìn)行狀態(tài)計(jì)算,得到狀態(tài)轉(zhuǎn)換表和狀態(tài)圖,2)當(dāng)X=1時(shí),X=1時(shí)的狀態(tài)表,現(xiàn) 態(tài),次 態(tài),輸 出,Z,1 0 0 1 0 0 0 0,1 0 0 0,X=1時(shí)的狀態(tài)表,0 0 1 0 0 1 1 1,完整的狀態(tài)圖, 畫時(shí)序波形圖, 邏輯功能分析,共有3個(gè)狀態(tài)00、01、10。當(dāng)X=0 時(shí),按照加1規(guī)律從00011000循環(huán)變化,并每當(dāng)轉(zhuǎn)換為10狀態(tài)(最大數(shù))時(shí),輸出Z=1。當(dāng)X=1時(shí),按照減1規(guī)律從10010010循環(huán)變化,并每當(dāng)轉(zhuǎn)換為00狀態(tài)(最小數(shù))時(shí),輸出Z=1。所以該電路是一個(gè)同步、能自啟動(dòng)、可逆的3進(jìn)制計(jì)數(shù)器。當(dāng)X=0時(shí),作加法計(jì)數(shù),Z是進(jìn)位信號(hào);當(dāng)X=1時(shí),作減法計(jì)數(shù),Z是借位信號(hào) 。, 有效狀態(tài)、有效循環(huán)、無效循環(huán)、能自啟動(dòng)和不能自啟動(dòng)的概念,有效狀態(tài):在時(shí)序邏輯電路中,凡被利用的狀態(tài),都叫有效狀態(tài)。如例2中00、01、10三個(gè)狀態(tài)是有效狀態(tài)。 有效循環(huán):在時(shí)序電路中,凡是有效狀態(tài)形成的循環(huán),都稱為有效循環(huán)。如例2中狀態(tài)圖所示的00、01、10之間為有效循環(huán)。 無效狀態(tài):在時(shí)序邏輯電路中,凡是沒有被利用的狀態(tài),都叫無效狀態(tài)。如例2中的狀態(tài)11即是無效狀態(tài)。 無效循環(huán):如果無效狀態(tài)構(gòu)成了循環(huán),那么這種循環(huán)就稱為無效循環(huán)。例2中只有一個(gè)無效狀態(tài),因而不存在無效循環(huán)。而在例1中010和101兩個(gè)無效狀態(tài)之間就構(gòu)成了無效循環(huán)。 能自啟動(dòng):在時(shí)序電路中,雖然存在無效狀態(tài),但他們之間沒有形成循環(huán),這樣的時(shí)序電路叫能自啟動(dòng)的時(shí)序電路。假如因干擾電路進(jìn)入無效狀態(tài)上,在時(shí)鐘脈沖作用下無效狀態(tài)能自動(dòng)回到有效循環(huán)中去。 不能自啟動(dòng):在時(shí)序電路中,既有無效狀態(tài)存在,它們之間又構(gòu)成了無效循環(huán),這樣的時(shí)序電路稱為不能自啟動(dòng)的時(shí)序電路。 在例2中,我們觀察狀態(tài)圖并對(duì)狀態(tài)方程進(jìn)行計(jì)算可知,無效狀態(tài)11不構(gòu)成循環(huán),且在時(shí)鐘脈沖作用下能回到00狀態(tài),即能回到有效循環(huán)中去,所以,例2所示電路是能自啟動(dòng)的時(shí)序邏輯電路。而在例1中,無效狀態(tài)之間構(gòu)成了循環(huán),因此它是不能自啟動(dòng)的。,

注意事項(xiàng)

本文(數(shù)字電路與邏輯設(shè)計(jì)第四章.ppt)為本站會(huì)員(tian****1990)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!