歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

《微機(jī)原理與接口技術(shù)》課程設(shè)計(jì)說明書鐘表顯示匯編語言程序設(shè)計(jì)

  • 資源ID:27772015       資源大?。?span id="1mirea9" class="font-tahoma">222.97KB        全文頁數(shù):16頁
  • 資源格式: DOC        下載積分:15積分
快捷下載 游客一鍵下載
會員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要15積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號,方便查詢和重復(fù)下載(系統(tǒng)自動生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號:
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說明有答案則都視為沒有答案,請知曉。

《微機(jī)原理與接口技術(shù)》課程設(shè)計(jì)說明書鐘表顯示匯編語言程序設(shè)計(jì)

武漢理工大學(xué)微機(jī)原理與接口技術(shù)課程設(shè)計(jì)說明書鐘表顯示匯編語言程序設(shè)計(jì)1 設(shè)計(jì)目的與要求1.1設(shè)計(jì)目的:(1)理解掌各個中斷的使用方法,通過對一些較大程序的編寫,加深對匯編語言的認(rèn)識,尤其是對中斷的理解。(2)掌握一定的匯編語言知識,培養(yǎng)自己的動手操作能力,同時培養(yǎng)學(xué)生應(yīng)用計(jì)算機(jī)解決實(shí)際問題的能力。(3)學(xué)習(xí)程序設(shè)計(jì)的基本思路和方法。1.2 設(shè)計(jì)要求: 設(shè)計(jì)匯編語言程序,實(shí)現(xiàn)系統(tǒng)時間顯示功能,具體功能要求如下: (1)設(shè)計(jì)一個界面顯示系統(tǒng)方框。 (2)單擊“T”鍵顯示本機(jī)系統(tǒng)的時間。 (3)單擊“ESC鍵退出程序。1.3 程序內(nèi)容第一部分:定義顯示界面。第二部分:調(diào)用系統(tǒng)時間,并將調(diào)用的用二進(jìn)制表示的時間數(shù)轉(zhuǎn)換成ASCII碼,并將時間數(shù)存入內(nèi)存區(qū)。第三部分:將存在系統(tǒng)內(nèi)存區(qū)的時間數(shù)用顯示字符串的形式顯示出來。第四部分:獲取鍵盤的按鍵值,以ESC鍵退出系統(tǒng)返回DOS。2 總體方案論證這次的任務(wù),一共設(shè)計(jì)了兩種方案。方案一主要是調(diào)用2CH號DOS中斷讀系統(tǒng)時間,把各相關(guān)寄存器中的內(nèi)容轉(zhuǎn)換為十進(jìn)制ASCII碼輸出,分別將小時數(shù)、分鐘數(shù)、秒數(shù)存入顯示緩沖區(qū),調(diào)用DOS字符串顯示功能將時間顯示出來,然后定位光標(biāo)的開始位置,結(jié)合著將時間顯示在我們預(yù)先定義好的位置上。方案二同樣調(diào)用2CH號DOS中斷讀系統(tǒng)時間,只是方案二簡單點(diǎn),沒有把小時數(shù)、分鐘數(shù)、秒數(shù)分開,直接將系統(tǒng)當(dāng)下的時間存入了顯示緩沖區(qū),調(diào)用DOS字符串顯示功能將時間顯示出來,然后定位光標(biāo)的開始位置,結(jié)合著將時間顯示在我們預(yù)先定義好的位置上。經(jīng)過對比,我覺得方案一好點(diǎn)們雖然復(fù)雜一些,但是大體的思路很清楚。 總體思路就是調(diào)用2CH號DOS中斷讀系統(tǒng)時間,把各相關(guān)寄存器中的內(nèi)容轉(zhuǎn)換為十進(jìn)制ASCII碼輸出,分別將小時數(shù)、分鐘數(shù)、秒數(shù)存入顯示緩沖區(qū),調(diào)用DOS字符串顯示功能將時間顯示出來,然后定位光標(biāo)的開始位置,結(jié)合著將時間顯示在我們預(yù)先定義好的位置上。由于獲取了的系統(tǒng)時間不會自動刷新,所以我們要設(shè)計(jì)成循環(huán)的方式來不斷獲取系統(tǒng)的時間,這樣就形成了會跳動的電子鐘了。程序一旦進(jìn)入運(yùn)行,就會顯示一個精美的方框,顯示按鍵提示,按T就將不間斷地在顯示屏顯示時間,要想程序停止運(yùn)行,可同時在鍵盤按下ESC鍵退出。在本程序中,時鐘的精確度為秒。其中DOS調(diào)用功能中,編號為2CH的功能是取時間,出口參數(shù):CX:DX=時間。程序中會有四個子程序:子程序BIN100UT 功能:把二進(jìn)制數(shù)轉(zhuǎn)換為十進(jìn)制ASCII碼輸出;子程序STDOUT 功能:標(biāo)準(zhǔn)輸出一個字符;子程序CLRSCR 功能:清屏;子程序SETCURS 功能:設(shè)置光標(biāo)位置。2.1 子程序BIN10OUT子程序BIN100UT的功能就是把二進(jìn)制數(shù)轉(zhuǎn)換為十進(jìn)制ASCII碼輸出?;驹恚菏紫纫瓿啥M(jìn)制到十進(jìn)制數(shù)的轉(zhuǎn)換,一般采用“除10取余”法來完成,其大致過程如下:將待轉(zhuǎn)換的數(shù)(在AX中)除以10,得到第一個商和余數(shù),此第一個余數(shù)就是所求的十進(jìn)制數(shù)的個位;再用第一個商除以10,得到第二個商和余數(shù),此第二個余數(shù)就是所求十進(jìn)制數(shù)的十位;重復(fù)這一過程直至商為0,此時的余數(shù)就是所求十進(jìn)制數(shù)的最高位。在內(nèi)存中分配一緩沖區(qū),用來存放以上分離出來的十進(jìn)制數(shù)的每一位,然后再逐個轉(zhuǎn)換成ASCII碼,轉(zhuǎn)換成ASCII碼的過程很簡單,就是給每個十進(jìn)制位加上30H即可;最后送顯示器輸出。從轉(zhuǎn)換的過程可以看出,先分離出來的是十進(jìn)制數(shù)的低位,要后顯示;后分離出來的是十進(jìn)制數(shù)的高位,應(yīng)該先顯示。因此在編程時,我們可以利用堆棧操作中“先進(jìn)后出、后進(jìn)先出”的特性,當(dāng)分離出各十進(jìn)制位時依次入棧,要逐個轉(zhuǎn)換成ASCII碼送顯示時依次出棧。 子程序BIN100UT流程圖如圖2-1所示。 圖2-1 子程序BIN100UT流程圖2.2子程序STDOUT 子程序STDOUT的功能是顯示輸出。在編寫這一段程序時,我用到了INT 21 02H號中斷,INT 21的功能是系統(tǒng)功能調(diào)用,入口參數(shù):AH=調(diào)用號。編號02H的功能就是顯示輸出,入口參數(shù):DL=輸出字符。一旦了解了這一功能,顯示輸出子程序便可解決,程序如下所示。程序:STDOUT PROC PUSH DX MOV DL,AL MOV AH,2 INT 21H POP DXRETSTDOUT ENDP 子程序STDOUT流程圖如圖2-2所示。 圖2-2 子程序STDOUT流程圖2.3子程序CLRSCR子程序CLRSCR的功能是清屏。在子程序CLRSCR中,我用到了顯示器驅(qū)動程序(INT 10H)中AH=6的功能。AH=6的功能是當(dāng)前頁上滾,入口參數(shù):AL=行數(shù),(從窗口底部算起,空白的行數(shù));AL=0為整個窗口空白;(CH,CL)=滾動區(qū)域的左上角行、列;(DH,DL)=滾動區(qū)域的右下角的行、列;BH=空白頁的屬性。以上是對INT 10 AH=6中斷的簡述,在本課題中用到清屏程序是為了使屏幕顯示更加美觀,同時改變BH的數(shù)值,便可改變字體顏色,程序中即讓AX=0600H,左上角的行列號為(CH,CL)=(0,0),右下角的行列號為DX=184FH。其實(shí)在這里也可以用到AH=7的功能,它們的功能都是初始化屏幕或滾屏,AH=6為向上滾屏,AH=7為向下滾屏,其它功能一樣。子程序CLRSCR流程圖如圖2-3所示。 圖2-3 子程序CLRSCR流程圖2.4子程序SETCURS子程序SETCURS 的功能是設(shè)置光標(biāo)位置。在子程序SETCURS中,我用到了顯示器驅(qū)動程序(INT 10H)中AH=2的功能。AH=2的功能是設(shè)置光標(biāo)位置,入口參數(shù):(DH,DL)=行、列;BH=頁號(圖形模式為0)。為了使顯示的時間在DOS屏幕中的中間顯示,DX設(shè)置為0A24H,即DH=0AH,DL=24H,就是在0AH行,24H列。如果想改變時間顯示的位置,只要改變DX的數(shù)值就可以達(dá)到目的。由于在第零頁中定位,可用SUB BH,BH來完成此操作。子程序SETCURS流程圖如圖2-4所示。 圖2-4 子程序SETCURS流程圖綜上所述,為系統(tǒng)顯示時鐘的幾個重要子程序,缺一不可,但實(shí)現(xiàn)這些功能其實(shí)還有很多其它中斷可以完成,在這里不一一介紹。課程題目中設(shè)計(jì)通過點(diǎn)擊ESC鍵退出程序,在這里簡單介紹一下,首先要用到INT 21 0BH號中斷,改中斷的功能是檢查標(biāo)準(zhǔn)輸入狀態(tài),出口參數(shù)AL=00無鍵入,AL=FF有鍵入。同時還要用到INT 21 08H號中斷,該中斷的功能是鍵盤輸入字符(無回應(yīng)顯示),出口參數(shù):AL=輸出字符。在此設(shè)計(jì)中要求點(diǎn)擊ESC鍵退出,先在ASCII碼表中查詢ESC的ASCII值,查表得1BH,即AL=1BH。退出程序(EXIT)用的是INT 21 4CH號中斷,該中斷的功能是終止當(dāng)前程序并返回調(diào)用程序,入口參數(shù):AL=退出碼。通過以上的幾個功能調(diào)用就可以實(shí)現(xiàn)點(diǎn)擊ESC鍵退出程序的功能。 3 主程序流程圖 主程序流程圖如圖3-1所示。 圖3-1 主程序流程圖主程序?qū)讉€子程序有效的結(jié)合在一起,其中要注意的是最后一步(點(diǎn)擊ESC退出),因?yàn)槿绻O(shè)計(jì)不好循環(huán),會影響系統(tǒng)提取時間,導(dǎo)致時間停止不走動,綜上所述,便基本完成了設(shè)計(jì)要求。4 調(diào)試過程(1)編譯匯編源文件,命名為徐聯(lián)杏.asm。(2)asm將生成一個OBJ文件,如果有程序有錯誤,則提示不能生成OBJ文件,那么就要檢查源程序中的錯誤,并改正。(3)點(diǎn)擊連接,然后點(diǎn)擊運(yùn)行,即可顯示結(jié)果。結(jié)果如圖4-1所示。 圖4-1 程序運(yùn)行結(jié)果(4)如果要退出程序,點(diǎn)擊ESC鍵即可。分析:調(diào)試屏幕上顯示的提示語與系統(tǒng)時間的位置都可通過前面介紹的內(nèi)容來改變,點(diǎn)擊ESC鍵退出也可改變,同時字體顏色也可選為自己喜歡的顏色。5 編程時遇到的問題及解決方法在課程設(shè)計(jì)中遇到的最大的困難是如何產(chǎn)生中斷,用什么形式的中斷實(shí)現(xiàn)功能,對中斷向量表的裝載還比較模糊,對中斷的初始化、具體設(shè)置、中斷返回還不是很清楚,程序設(shè)計(jì)一度陷入停滯狀態(tài),不知如何是好。我們又重新翻閱了周佩玲主編的微機(jī)原理與接口技術(shù)及網(wǎng)上的很多關(guān)于中斷的介紹與例題,重點(diǎn)研究了中斷過程,通過對這一內(nèi)容的學(xué)習(xí),我們終于對中斷有了詳細(xì)的認(rèn)識,在設(shè)計(jì)程序時也容易了很多,最后在設(shè)計(jì)退出程序的時候也遇到了一點(diǎn)困難,設(shè)計(jì)的程序可以點(diǎn)擊ESC鍵退出,但時間卻不走動了,但通過對程序的修改與調(diào)試,最后加入循環(huán)后便解決了這一問題。6 心得體會這次課程設(shè)計(jì)歷時兩周,從中發(fā)現(xiàn)了自己很多問題,看見自己的知識有很多漏洞,雖然做過微機(jī)原理實(shí)驗(yàn),但實(shí)際經(jīng)驗(yàn)還是很缺乏。說到設(shè)計(jì),很多人都有恐懼感,但我一聽見設(shè)計(jì)就很高興,當(dāng)看到自己設(shè)計(jì)的東西在軟件上仿真成功的時候,就有一種成就感。在這次的設(shè)計(jì)中,我感覺有同學(xué)們的幫助很重要,這次設(shè)計(jì)的時間雖然有些倉促,但是我們的熱情依然很高,在大量資料和老師的幫助下選定了方案,之前想的可能是用硬件來實(shí)現(xiàn),但后來聽老師一講,知道原來是用純軟件來實(shí)現(xiàn),一開始一點(diǎn)頭緒都沒有,但查了一些資料后,心里就有些底了。其實(shí)在編程中,我們借鑒了很多現(xiàn)成的子程序,比如二進(jìn)制數(shù)的轉(zhuǎn)換,這些子程序的設(shè)計(jì)是固定的,因此可以直接從指導(dǎo)資料中調(diào)用,至于設(shè)置光標(biāo)的子程序,只需要修改幾個參數(shù)就可以,這大大方便了我們的設(shè)計(jì),為我們節(jié)省了很多的時間。平常上課都只是聽老師講講,覺的很多東西很簡單,但真正做起來,真的發(fā)現(xiàn)了很多問題,后來通過自己查資料和摸索解決了一些問題。剛開始的時候,做起來也比較得心應(yīng)手,但是程序的原理與結(jié)構(gòu)必須明白,這并不是為了應(yīng)付答辯,而是如果只知道原理,那么萬一編程的時候有一點(diǎn)錯誤,就沒有辦法完成任務(wù)。通過這次課程設(shè)計(jì),使我對系統(tǒng)時鐘顯示的程序有了更深一步的了解,對它的各個模塊都有所研究,把死板的課本知識變得生動有趣,激發(fā)了學(xué)習(xí)的積極性,加深了對理論知識的理解,以前對匯編語言的認(rèn)識比較模糊,現(xiàn)在通過自己做實(shí)驗(yàn),基本了解了它的使用,培養(yǎng)了自己的實(shí)踐動手能力。對于課程設(shè)計(jì)我總結(jié)了五點(diǎn):首先它是一個對知識回顧的過程,而我們在復(fù)習(xí)中還能學(xué)到新的知識;第二是開拓了我們的思路,為了使我們的設(shè)計(jì)更簡單更完善,我們大家都開動了腦筋,在不斷的嘗試中獲得最終方案;第三是給了我們實(shí)踐的機(jī)會,我就是因?yàn)槿鄙賹?shí)踐經(jīng)驗(yàn),以為在課堂上學(xué)的東西就可以解決問題,致使之后在編程中預(yù)到了很多困難;第四是給了我們創(chuàng)新的機(jī)會,老師讓我們大膽的去創(chuàng)新,去嘗試,使我們有動力有信心去完成自己的想法;第五是使我感覺到了學(xué)無止境,真的是一山還比一山高,好的方案層出不窮,這使我在以后的學(xué)習(xí)中不會再只限于課本,要多查資料,多學(xué)習(xí),才能完善自己。 通過這次設(shè)計(jì),我想說,我真的付出很多,確實(shí)很辛苦,但我很開心最后我認(rèn)為大學(xué)應(yīng)該多做一些這樣的課題,我相信我們會越做越好。參考文獻(xiàn)1 周佩玲,彭虎,傅忠謙微機(jī)原理與接口技術(shù)北京:電子工業(yè)出版社,20062 雷麗文,朱曉華,蔡征宇,繆均達(dá)微機(jī)原理與接口技術(shù)北京:電子工業(yè)出版社,19983 李文英,劉星,宋蘊(yùn)新,李勤微機(jī)原理與接口技術(shù)北京:清華大學(xué)出版社,20014 楊吉祥微型計(jì)算機(jī)原理西安:電子科技大學(xué)出版社,20015 仇玉章等32位微型計(jì)算機(jī)原理與接口技術(shù)北京:清華大學(xué)出版社,2000附錄系統(tǒng)時鐘顯示源程序: STACK SEGMENT STACK DW 200 DUP (?) STACK ENDSDATA SEGMENT SPACE DB 1000 DUP ( ) PATTERN DB 6 DUP ( ),02AH,26 DUP (02AH),02AH,6 DUP ( ) DB 6 DUP ( ),023H,26 DUP (20H),023H,6 DUP ( ) DB 6 DUP ( ),02AH,26 DUP (02AH),02AH,6 DUP ( ) DBUFFER DB 8 DUP (:),12 DUP ( ) DBUFFER1 DB 20 DUP ( ) STR DB 0DH,0AH, PLEASE INPUT TIME(T) OR QUIT(ESC): $ DATA ENDSCODE SEGMENT ASSUME CS:CODE,DS:DATA,SS:STACKSTART : MOV AX,0001H ;設(shè)置顯示方式為40*25彩色文本方式 INT 10H MOV AX,DATA MOV DS,AX MOV ES,AX MOV BP,OFFSET SPACE MOV DX,0B00H MOV CX,1000 MOV BX,0040H MOV AX,1300H INT 10H MOV BP,OFFSET PATTERN ;顯示矩形條 MOV DX,0B00H MOV CX,120 MOV BX,004EH MOV AX,1301H INT 10H LEA DX,STR ;顯示提示信息 MOV AH,9 INT 21H MOV AH,1 ;從鍵盤輸入單個字符 INT 21HLOP: CALL SETCURS ;主程序,設(shè)置光標(biāo)位置,輸出時間 MOV AH,2CH ;調(diào)用系統(tǒng)時間 INT 21H PUSH DX ;DX中的時間秒和百分秒入棧 MOV DL,CH MOV DH,0 CALL BIN10OUT ;調(diào)用二進(jìn)制轉(zhuǎn)十進(jìn)制輸出時間小時 MOV DL,: MOV AH,2 INT 21H MOV DL,CL MOV DH,0 CALL BIN10OUT ;輸出時間分鐘 MOV DL,: MOV AH,2 INT 21H POP DX ;秒和百分秒出棧 MOV DL,DH MOV DH,0 CALL BIN10OUT ;輸出時間秒ESC: MOV AH,0BH ;若輸入ESC,則退出程序 INT 21H CMP AL,0FFH JNZ LOP ;循環(huán)輸出每個當(dāng)前時間 MOV AH,8 INT 21H CMP AL,1BH JE EXIT JMP ESC EXIT: MOV AH,4CH INT 21HCLRSCR PROC ;清屏子程序 PUSH AX PUSH BX MOV AX,0600H ;AL=0整個窗口空白 MOV BH,0FH SUB CX,CX ;左上角行號,列號 MOV DX,184FH ;右下角行號,列號 INT 10H POP BX POP AX RETCLRSCR ENDP SETCURS PROC ;設(shè)置光標(biāo)位置 PUSH AX PUSH BX PUSH DX MOV AH,02H ;調(diào)用BIOS2號中斷 SUB BH,BH ;在第0頁中定位 MOV DX,0C0EH INT 10H POP DX POP BX POP AX RETSETCURS ENDP BIN10OUT PROC ;二進(jìn)制轉(zhuǎn)十進(jìn)制ASCUII碼輸出子程序 PUSH DI PUSH DX PUSH CX PUSH AX MOV CX,0 LEA DI,DBUFFER1 ;去緩沖區(qū)首地址BIN1: PUSH CX MOV AX,DX MOV DX,0 MOV CX,10 DIV CX ;AX內(nèi)容除以DX內(nèi)容,商在AX,余數(shù)在DX XCHG AX,DX ;交換AX,DX的內(nèi)容 ADD AL,30H ;余數(shù)加30H,入棧準(zhǔn)備輸出 MOV DI,AL INC DI POP CX INC CX ;累加每調(diào)用一次要輸出的位數(shù) CMP DX,0 ;商和0比較 JNZ BIN1 ;不等于0轉(zhuǎn)BIN1 CMP CX,1 ;累加器和1比較 JNZ BIN2 ;不等于1轉(zhuǎn)BIN2 MOV AH,2 ;等于1位,先在改位前輸出0 MOV DL,0 INT 21HBIN2: DEC DI ;等于0輸出十進(jìn)制數(shù)的各位 MOV AL,DI CALL STDOUT LOOP BIN2 POP AX ;恢復(fù)現(xiàn)場 POP CX POP DX POP DI RETBIN10OUT ENDPSTDOUT PROC ;標(biāo)準(zhǔn)輸出子程序 PUSH DX MOV DL,AL MOV AH,2 INT 21H POP DX RETSTDOUT ENDP CODE ENDS END START本科生微機(jī)課程設(shè)計(jì)成績評定表姓 名徐聯(lián)杏性 別女專業(yè)、班級自動化0707課程設(shè)計(jì)題目: 鐘表顯示課程設(shè)計(jì)答辯或質(zhì)疑記錄:成績評定依據(jù):序號評定項(xiàng)目評分成績1選題合理、目的明確(10分)2設(shè)計(jì)方案正確,具有可行性、創(chuàng)新性(20分)3設(shè)計(jì)結(jié)果(例如:硬件成果、軟件程序)(20分)4態(tài)度認(rèn)真、學(xué)習(xí)刻苦、遵守紀(jì)律(15分)5設(shè)計(jì)報(bào)告的規(guī)范化、參考文獻(xiàn)充分(不少于5篇)(10分)6答辯(25分)總分最終評定成績(以優(yōu)、良、中、及格、不及格評定)指導(dǎo)教師簽字: 年 月 日16

注意事項(xiàng)

本文(《微機(jī)原理與接口技術(shù)》課程設(shè)計(jì)說明書鐘表顯示匯編語言程序設(shè)計(jì))為本站會員(仙***)主動上傳,裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!