歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > PPT文檔下載  

計(jì)算機(jī)組成原理第二章補(bǔ)充課件(白中英編-科學(xué)出版社)

  • 資源ID:240910635       資源大小:1.24MB        全文頁數(shù):133頁
  • 資源格式: PPT        下載積分:10積分
快捷下載 游客一鍵下載
會員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要10積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號,方便查詢和重復(fù)下載(系統(tǒng)自動生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號:
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說明有答案則都視為沒有答案,請知曉。

計(jì)算機(jī)組成原理第二章補(bǔ)充課件(白中英編-科學(xué)出版社)

計(jì)算機(jī)組成原理計(jì)算機(jī)組成原理任課教師:陳宇斌南昌航空大學(xué)計(jì)算機(jī)學(xué)院軟件工程系Email:Tel:13970933876鄭州大學(xué)鄭州大學(xué) 計(jì)算機(jī)組成原理計(jì)算機(jī)組成原理 補(bǔ)充內(nèi)容補(bǔ)充內(nèi)容第一章 計(jì)算機(jī)系統(tǒng)概論第二章 運(yùn)算方法和運(yùn)算器(補(bǔ):數(shù)字邏輯)第三章 存儲系統(tǒng)第四章 指令系統(tǒng) 第五章 中央處理器 第六章 總線系統(tǒng) 第七章 外圍設(shè)備 第八章 輸入輸出系統(tǒng)第九章 并行組織目錄鄭州大學(xué)鄭州大學(xué) 計(jì)算機(jī)組成原理計(jì)算機(jī)組成原理 補(bǔ)充內(nèi)容補(bǔ)充內(nèi)容l參考書參考書王爾乾,數(shù)字邏輯與數(shù)字集成電路第2版,清華大學(xué)出版社,2002鄭州大學(xué)鄭州大學(xué) 計(jì)算機(jī)組成原理計(jì)算機(jī)組成原理 補(bǔ)充內(nèi)容補(bǔ)充內(nèi)容&第一部分 邏輯代數(shù)&第二部分 邏輯門電路&第三部分 組合邏輯電路&第四部分 時(shí)序邏輯電路數(shù)字邏輯目錄“數(shù)字邏輯數(shù)字邏輯”是計(jì)算機(jī)硬件技術(shù)系列的基是計(jì)算機(jī)硬件技術(shù)系列的基礎(chǔ)礎(chǔ)計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)計(jì)算機(jī)組成原理數(shù)字邏輯計(jì)算機(jī)系統(tǒng)的軟硬件功能分配計(jì)算機(jī)系統(tǒng)的邏輯實(shí)現(xiàn)計(jì)算機(jī)組成的物理實(shí)現(xiàn)5 5數(shù)字與邏輯數(shù)字與邏輯(Digital&Logic)邏輯:研究思維的規(guī)律性;關(guān)于思維形式及其規(guī)律的科學(xué);邏輯:研究思維的規(guī)律性;關(guān)于思維形式及其規(guī)律的科學(xué);邏輯:研究思維的規(guī)律性;關(guān)于思維形式及其規(guī)律的科學(xué);邏輯:研究思維的規(guī)律性;關(guān)于思維形式及其規(guī)律的科學(xué);研究概念、判斷和推理以及相互聯(lián)系的規(guī)律、規(guī)則,以幫研究概念、判斷和推理以及相互聯(lián)系的規(guī)律、規(guī)則,以幫研究概念、判斷和推理以及相互聯(lián)系的規(guī)律、規(guī)則,以幫研究概念、判斷和推理以及相互聯(lián)系的規(guī)律、規(guī)則,以幫助人們正確地思維和認(rèn)識客觀真理。助人們正確地思維和認(rèn)識客觀真理。助人們正確地思維和認(rèn)識客觀真理。助人們正確地思維和認(rèn)識客觀真理。學(xué)習(xí)工作時(shí)時(shí)處處離不開學(xué)習(xí)工作時(shí)時(shí)處處離不開學(xué)習(xí)工作時(shí)時(shí)處處離不開學(xué)習(xí)工作時(shí)時(shí)處處離不開“邏輯邏輯邏輯邏輯”:講話要有邏輯性、寫:講話要有邏輯性、寫:講話要有邏輯性、寫:講話要有邏輯性、寫論文邏輯層次要清晰;邏輯推理能力、邏輯判斷能力論文邏輯層次要清晰;邏輯推理能力、邏輯判斷能力論文邏輯層次要清晰;邏輯推理能力、邏輯判斷能力論文邏輯層次要清晰;邏輯推理能力、邏輯判斷能力數(shù)理邏輯:研究推理、計(jì)算等邏輯問題,又稱符號邏輯,數(shù)理邏輯:研究推理、計(jì)算等邏輯問題,又稱符號邏輯,數(shù)理邏輯:研究推理、計(jì)算等邏輯問題,又稱符號邏輯,數(shù)理邏輯:研究推理、計(jì)算等邏輯問題,又稱符號邏輯,是離散數(shù)學(xué)的重要內(nèi)容,是計(jì)算機(jī)科學(xué)的基礎(chǔ)。是離散數(shù)學(xué)的重要內(nèi)容,是計(jì)算機(jī)科學(xué)的基礎(chǔ)。是離散數(shù)學(xué)的重要內(nèi)容,是計(jì)算機(jī)科學(xué)的基礎(chǔ)。是離散數(shù)學(xué)的重要內(nèi)容,是計(jì)算機(jī)科學(xué)的基礎(chǔ)。數(shù)字邏輯:用二進(jìn)制為基礎(chǔ)的數(shù)字化技術(shù)解決邏輯問題。數(shù)字邏輯:用二進(jìn)制為基礎(chǔ)的數(shù)字化技術(shù)解決邏輯問題。數(shù)字邏輯:用二進(jìn)制為基礎(chǔ)的數(shù)字化技術(shù)解決邏輯問題。數(shù)字邏輯:用二進(jìn)制為基礎(chǔ)的數(shù)字化技術(shù)解決邏輯問題。6 6數(shù)字與邏輯數(shù)字與邏輯(Digital&Logic)n n邏輯代數(shù):應(yīng)用代數(shù)方法研究邏輯問題,又邏輯代數(shù):應(yīng)用代數(shù)方法研究邏輯問題,又邏輯代數(shù):應(yīng)用代數(shù)方法研究邏輯問題,又邏輯代數(shù):應(yīng)用代數(shù)方法研究邏輯問題,又稱布爾代數(shù),開關(guān)代數(shù)(還有開關(guān)理論,開稱布爾代數(shù),開關(guān)代數(shù)(還有開關(guān)理論,開稱布爾代數(shù),開關(guān)代數(shù)(還有開關(guān)理論,開稱布爾代數(shù),開關(guān)代數(shù)(還有開關(guān)理論,開關(guān)電路等),是邏輯化簡的主要工具。關(guān)電路等),是邏輯化簡的主要工具。關(guān)電路等),是邏輯化簡的主要工具。關(guān)電路等),是邏輯化簡的主要工具。n n數(shù)字邏輯電路的設(shè)計(jì)、分析,要借助于邏輯數(shù)字邏輯電路的設(shè)計(jì)、分析,要借助于邏輯數(shù)字邏輯電路的設(shè)計(jì)、分析,要借助于邏輯數(shù)字邏輯電路的設(shè)計(jì)、分析,要借助于邏輯代數(shù)這一數(shù)學(xué)工具。邏輯代數(shù)中二值運(yùn)算的代數(shù)這一數(shù)學(xué)工具。邏輯代數(shù)中二值運(yùn)算的代數(shù)這一數(shù)學(xué)工具。邏輯代數(shù)中二值運(yùn)算的代數(shù)這一數(shù)學(xué)工具。邏輯代數(shù)中二值運(yùn)算的公式、運(yùn)算及定律要應(yīng)用到數(shù)字邏輯電路。公式、運(yùn)算及定律要應(yīng)用到數(shù)字邏輯電路。公式、運(yùn)算及定律要應(yīng)用到數(shù)字邏輯電路。公式、運(yùn)算及定律要應(yīng)用到數(shù)字邏輯電路。n n實(shí)現(xiàn)邏輯功能可用的數(shù)字電路:實(shí)現(xiàn)邏輯功能可用的數(shù)字電路:實(shí)現(xiàn)邏輯功能可用的數(shù)字電路:實(shí)現(xiàn)邏輯功能可用的數(shù)字電路:1 1、數(shù)字集成電路、數(shù)字集成電路、數(shù)字集成電路、數(shù)字集成電路2 2、可編程邏輯器件、可編程邏輯器件、可編程邏輯器件、可編程邏輯器件(PLD)(PLD)7 7數(shù)字與模擬數(shù)字與模擬(Digital&Analog)(離散與連續(xù))n ndigitdigit原意泛指原意泛指原意泛指原意泛指“數(shù)目的文字?jǐn)?shù)目的文字?jǐn)?shù)目的文字?jǐn)?shù)目的文字”。在計(jì)算機(jī)領(lǐng)域,。在計(jì)算機(jī)領(lǐng)域,。在計(jì)算機(jī)領(lǐng)域,。在計(jì)算機(jī)領(lǐng)域,digitaldigital與其它詞一起使用,主要用于區(qū)別與其它詞一起使用,主要用于區(qū)別與其它詞一起使用,主要用于區(qū)別與其它詞一起使用,主要用于區(qū)別“模擬模擬模擬模擬”,指將連續(xù)變化的模擬量用二進(jìn)制數(shù)表達(dá)和處理。指將連續(xù)變化的模擬量用二進(jìn)制數(shù)表達(dá)和處理。指將連續(xù)變化的模擬量用二進(jìn)制數(shù)表達(dá)和處理。指將連續(xù)變化的模擬量用二進(jìn)制數(shù)表達(dá)和處理。n n現(xiàn)實(shí)世界中存在模擬與數(shù)字兩大系統(tǒng),電子數(shù)字計(jì)算現(xiàn)實(shí)世界中存在模擬與數(shù)字兩大系統(tǒng),電子數(shù)字計(jì)算現(xiàn)實(shí)世界中存在模擬與數(shù)字兩大系統(tǒng),電子數(shù)字計(jì)算現(xiàn)實(shí)世界中存在模擬與數(shù)字兩大系統(tǒng),電子數(shù)字計(jì)算機(jī)是最典型的數(shù)字系統(tǒng)。機(jī)是最典型的數(shù)字系統(tǒng)。機(jī)是最典型的數(shù)字系統(tǒng)。機(jī)是最典型的數(shù)字系統(tǒng)。n n模擬量經(jīng)采樣、量化可轉(zhuǎn)換為數(shù)字量。數(shù)字量更便于模擬量經(jīng)采樣、量化可轉(zhuǎn)換為數(shù)字量。數(shù)字量更便于模擬量經(jīng)采樣、量化可轉(zhuǎn)換為數(shù)字量。數(shù)字量更便于模擬量經(jīng)采樣、量化可轉(zhuǎn)換為數(shù)字量。數(shù)字量更便于加工、處理、傳輸、存儲等,可靠,抗干擾能力強(qiáng)。加工、處理、傳輸、存儲等,可靠,抗干擾能力強(qiáng)。加工、處理、傳輸、存儲等,可靠,抗干擾能力強(qiáng)。加工、處理、傳輸、存儲等,可靠,抗干擾能力強(qiáng)。n n數(shù)字集成電路是實(shí)現(xiàn)數(shù)字量處理和運(yùn)算的功能單元。數(shù)字集成電路是實(shí)現(xiàn)數(shù)字量處理和運(yùn)算的功能單元。數(shù)字集成電路是實(shí)現(xiàn)數(shù)字量處理和運(yùn)算的功能單元。數(shù)字集成電路是實(shí)現(xiàn)數(shù)字量處理和運(yùn)算的功能單元。8 8+V-V電壓p2p時(shí)間+V-V電壓p2p時(shí)間+V-V電壓p2p時(shí)間(a)(a)模擬表示模擬表示模擬表示模擬表示(b)(b)離散表示離散表示離散表示離散表示(c)(c)脈沖表示脈沖表示脈沖表示脈沖表示9 9數(shù)字邏輯領(lǐng)域的前沿技術(shù)數(shù)字邏輯領(lǐng)域的前沿技術(shù)多值邏輯多值邏輯模糊邏輯模糊邏輯計(jì)算機(jī)輔助邏輯設(shè)計(jì)計(jì)算機(jī)輔助邏輯設(shè)計(jì)集成電路設(shè)計(jì)自動化集成電路設(shè)計(jì)自動化可編程邏輯設(shè)計(jì)可編程邏輯設(shè)計(jì)數(shù)字系統(tǒng)與模擬系統(tǒng)的混合設(shè)計(jì)數(shù)字系統(tǒng)與模擬系統(tǒng)的混合設(shè)計(jì)數(shù)字電路的故障診斷與可靠性,等等數(shù)字電路的故障診斷與可靠性,等等1010鄭州大學(xué)鄭州大學(xué) 計(jì)算機(jī)組成原理計(jì)算機(jī)組成原理 補(bǔ)充內(nèi)容補(bǔ)充內(nèi)容1.1.邏輯代數(shù)邏輯代數(shù)1.1 數(shù)字電路的基礎(chǔ)知識1.2 基本邏輯關(guān)系1.3 邏輯代數(shù)及運(yùn)算規(guī)則 1.4 邏輯函數(shù)的表示法1.5 邏輯函數(shù)的化簡1.1 數(shù)字電路的基礎(chǔ)知識數(shù)字電路的基礎(chǔ)知識數(shù)字信號和模擬信號數(shù)字信號和模擬信號電電子子電電路路中中的的信信號號模擬信號模擬信號數(shù)字信號數(shù)字信號時(shí)間連續(xù)的信號時(shí)間連續(xù)的信號時(shí)間和幅度都是離散的時(shí)間和幅度都是離散的例:正弦波信號、鋸齒波信號等。例:正弦波信號、鋸齒波信號等。例:產(chǎn)品數(shù)量的統(tǒng)計(jì)、數(shù)字表盤例:產(chǎn)品數(shù)量的統(tǒng)計(jì)、數(shù)字表盤的讀數(shù)、數(shù)字電路信號等。的讀數(shù)、數(shù)字電路信號等。12模擬信號模擬信號tV(t)tV(t)數(shù)字信號數(shù)字信號高電平高電平低電平低電平上跳沿上跳沿下跳沿下跳沿13模擬電路主要研究:模擬電路主要研究:輸入、輸出信號間的大小、輸入、輸出信號間的大小、相位、失真等方面的關(guān)系。主要采用電路分相位、失真等方面的關(guān)系。主要采用電路分析方法,動態(tài)性能用微變等效電路分析。析方法,動態(tài)性能用微變等效電路分析。在模擬電路中,晶體管一般工作在線性放大區(qū);在模擬電路中,晶體管一般工作在線性放大區(qū);在數(shù)字電路中,三極管工作在開關(guān)狀態(tài),即工在數(shù)字電路中,三極管工作在開關(guān)狀態(tài),即工作在飽和區(qū)和截止區(qū)。作在飽和區(qū)和截止區(qū)。數(shù)字電路主要研究:數(shù)字電路主要研究:電路輸出、輸入間的邏輯關(guān)系。電路輸出、輸入間的邏輯關(guān)系。主要的工具是邏輯代數(shù),電路的功能用真值表、主要的工具是邏輯代數(shù),電路的功能用真值表、邏輯表達(dá)式及波形圖表示。邏輯表達(dá)式及波形圖表示。模擬電路與數(shù)字電路比較模擬電路與數(shù)字電路比較1.電路的特點(diǎn)電路的特點(diǎn)2.研究的內(nèi)容研究的內(nèi)容14模擬電路研究的問題模擬電路研究的問題基本電路元件基本電路元件:基本模擬電路基本模擬電路:晶體三極管晶體三極管場效應(yīng)管場效應(yīng)管集成電路運(yùn)算放大器集成電路運(yùn)算放大器 信號放大及運(yùn)算信號放大及運(yùn)算(信號放大、功率放大)信號放大、功率放大)信號處理(采樣保持、電壓比較、有源濾波)信號處理(采樣保持、電壓比較、有源濾波)信號發(fā)生(正弦波發(fā)生器、三角波發(fā)生器、信號發(fā)生(正弦波發(fā)生器、三角波發(fā)生器、)15數(shù)字電路研究的問題數(shù)字電路研究的問題基本電路元件基本電路元件基本數(shù)字電路基本數(shù)字電路 邏輯門電路邏輯門電路 觸發(fā)器觸發(fā)器 組合邏輯電路組合邏輯電路 時(shí)序電路(寄存器、計(jì)數(shù)器、脈沖發(fā)生器、時(shí)序電路(寄存器、計(jì)數(shù)器、脈沖發(fā)生器、脈沖整形電路)脈沖整形電路)A/D轉(zhuǎn)換器、轉(zhuǎn)換器、D/A轉(zhuǎn)換器轉(zhuǎn)換器161.2 基本邏輯關(guān)系基本邏輯關(guān)系一、一、“與與”邏輯邏輯與邏輯:與邏輯:決定事件發(fā)生的各條件中,所有條件都決定事件發(fā)生的各條件中,所有條件都具備,事件才會發(fā)生(成立)具備,事件才會發(fā)生(成立)規(guī)定規(guī)定:開關(guān)合為邏輯開關(guān)合為邏輯“1”開關(guān)斷為邏輯開關(guān)斷為邏輯“0”燈亮為邏輯燈亮為邏輯“1”燈滅為邏輯燈滅為邏輯“0”EFAB邏輯邏輯:指事物的前因和后果所遵循的規(guī)律:指事物的前因和后果所遵循的規(guī)律邏輯狀態(tài)邏輯狀態(tài):邏輯:邏輯“真真”為為“”,邏輯,邏輯“假假”為為“”基本邏輯關(guān)系基本邏輯關(guān)系:與:與 (and)或或(or)非非(not)17&ABF邏輯符號:邏輯符號:邏輯式:邏輯式:FA B邏輯乘法邏輯乘法邏輯與邏輯與真值表特點(diǎn)真值表特點(diǎn):任任0 則則0,全全1則則1與邏輯運(yùn)算規(guī)則:與邏輯運(yùn)算規(guī)則:0 0=0 0 1=01 0=0 1 1=1EFAB真值表真值表AFB00010001011118二、二、“或或”邏輯邏輯AEFB或邏輯或邏輯:決定事件發(fā)生的各條件中,有一個(gè)或一個(gè)決定事件發(fā)生的各條件中,有一個(gè)或一個(gè)以上的條件具備,事件就會發(fā)生(成立)以上的條件具備,事件就會發(fā)生(成立)規(guī)定規(guī)定:開關(guān)合為邏輯開關(guān)合為邏輯“1”開關(guān)斷為邏輯開關(guān)斷為邏輯“0”燈亮為邏輯燈亮為邏輯“1”燈滅為邏輯燈滅為邏輯“0”19 1ABF邏輯符號:邏輯符號:邏輯式:邏輯式:FAB邏輯加法邏輯加法邏輯或邏輯或真值表特點(diǎn):真值表特點(diǎn):任任1 則則1,全全0則則0?;蜻壿嬤\(yùn)算規(guī)則或邏輯運(yùn)算規(guī)則:0+0=0 0+1=11+0=1 1+1=1AEFB真值表真值表AFB00010101111120三、三、“非非”邏邏輯輯“非非”邏輯:邏輯:決定事件發(fā)生的條件只有一個(gè),條件決定事件發(fā)生的條件只有一個(gè),條件不具備時(shí)事件發(fā)生(成立),條件具備不具備時(shí)事件發(fā)生(成立),條件具備時(shí)事件不發(fā)生。時(shí)事件不發(fā)生。規(guī)定規(guī)定:開關(guān)合為邏輯開關(guān)合為邏輯“1”開關(guān)斷為邏輯開關(guān)斷為邏輯“0”燈亮為邏輯燈亮為邏輯“1”燈滅為邏輯燈滅為邏輯“0”AEFR21邏輯符號:邏輯符號:邏輯非邏輯非邏輯反邏輯反AF0110真值表真值表AEFR真值表特點(diǎn)真值表特點(diǎn):1則則0,0則則1。邏輯式:邏輯式:運(yùn)算規(guī)則:運(yùn)算規(guī)則:AF122四、幾種常用的邏輯關(guān)系邏輯四、幾種常用的邏輯關(guān)系邏輯“與與”、“或或”、“非非”是三種基本的邏輯是三種基本的邏輯關(guān)系,任何其它的邏輯關(guān)系都可以以它們?yōu)榛P(guān)系,任何其它的邏輯關(guān)系都可以以它們?yōu)榛A(chǔ)表示。礎(chǔ)表示。與非:與非:條件條件A、B都具備,都具備,則則F 不發(fā)生不發(fā)生&ABF其他幾種常用的邏輯關(guān)系如下表:其他幾種常用的邏輯關(guān)系如下表:23或或非非:條條件件A、B任任一一具具備備,則則F 不發(fā)生不發(fā)生 1ABF異異或或:條條件件A、B有有一一個(gè)個(gè)具具備備,另另一一個(gè)個(gè)不不具備則具備則F 發(fā)生發(fā)生=1ABF同同或或:條條件件A、B相相同同,則則F 發(fā)生發(fā)生=1ABF24基本邏輯關(guān)系小結(jié)&ABFABF11FA&ABFABF1=1ABF表示式表示式F=ABF=A+BF=A BABFABF國標(biāo)國標(biāo)慣用慣用國外國外與與或或非非與非與非或非或非異或異或邏輯邏輯符號符號ABFABF AFAFAABFBFABF ABFABFABF 25與或非門與或非門+ABCDFABCDF&1&261.3 邏輯代數(shù)及運(yùn)算規(guī)則邏輯代數(shù)及運(yùn)算規(guī)則邏輯代數(shù)邏輯代數(shù):二進(jìn)制運(yùn)算的基礎(chǔ)。:二進(jìn)制運(yùn)算的基礎(chǔ)。應(yīng)用代數(shù)方法研究邏輯問題。由英國數(shù)應(yīng)用代數(shù)方法研究邏輯問題。由英國數(shù)學(xué)家布爾學(xué)家布爾(Boole)和德和德.摩根于摩根于1847年提出,又叫年提出,又叫布爾代數(shù),開關(guān)代數(shù)。布爾代數(shù),開關(guān)代數(shù)。271.3 邏輯代數(shù)及運(yùn)算規(guī)則邏輯代數(shù)及運(yùn)算規(guī)則數(shù)字電路要研究的是電路的輸入輸出之間的數(shù)字電路要研究的是電路的輸入輸出之間的邏輯關(guān)系,所以數(shù)字電路又稱邏輯關(guān)系,所以數(shù)字電路又稱邏輯電路邏輯電路,相應(yīng)的,相應(yīng)的研究工具是研究工具是邏輯代數(shù)(布爾代數(shù))邏輯代數(shù)(布爾代數(shù))。在在邏邏輯輯代代數(shù)數(shù)中中,邏邏輯輯函函數(shù)數(shù)的的變變量量只只能能取取兩兩個(gè)個(gè)值(值(二值變量二值變量),即),即0和和1,中間值沒有意義。,中間值沒有意義。0和和1表示兩個(gè)對立的邏輯狀態(tài),不是數(shù)值表示兩個(gè)對立的邏輯狀態(tài),不是數(shù)值0和和1v邏輯變量邏輯變量具有邏輯屬性的變量具有邏輯屬性的變量v邏邏輯輯表表達(dá)達(dá)式式也也可可稱稱作作邏邏輯輯函函數(shù)數(shù),描描述述邏邏輯輯自自變量和邏輯因變量之間的邏輯關(guān)系變量和邏輯因變量之間的邏輯關(guān)系281.3.1 邏輯代數(shù)的基本運(yùn)算規(guī)則邏輯代數(shù)的基本運(yùn)算規(guī)則加運(yùn)算規(guī)則加運(yùn)算規(guī)則:0+0=0 ,0+1=1,1+0=1,1+1=1乘運(yùn)算規(guī)則乘運(yùn)算規(guī)則:00=0 01=0 10=0 11=1非運(yùn)算規(guī)則非運(yùn)算規(guī)則:291.3.2 邏輯代數(shù)的運(yùn)算規(guī)律邏輯代數(shù)的運(yùn)算規(guī)律一、交換律一、交換律二、結(jié)合律二、結(jié)合律三、分配律三、分配律A+B=B+AA B=B AA+(B+C)=(A+B)+C=(A+C)+BA(B C)=(A B)CA(B+C)=A B+A CA+B C=(A+B)(A+C)30求證求證:(分配律第(分配律第2條)條)A+BC=(A+B)(A+C)證明證明:右邊右邊=(A+B)(A+C)=AA+AB+AC+BC ;分配律分配律=A+A(B+C)+BC ;結(jié)合律結(jié)合律,AA=A=A(1+B+C)+BC ;結(jié)合律結(jié)合律=A 1+BC ;1+B+C=1=A+BC ;A 1=1=左邊左邊31四、吸收規(guī)則四、吸收規(guī)則1.原變量原變量的吸收:的吸收:A+AB=A證明:證明:A+AB=A(1+B)=A1=A利用運(yùn)算規(guī)則可以對邏輯式進(jìn)行化簡。利用運(yùn)算規(guī)則可以對邏輯式進(jìn)行化簡。例如:例如:被吸收被吸收吸收是指吸收多余(吸收是指吸收多余(冗余冗余)項(xiàng),多余()項(xiàng),多余(冗冗余余)因子被取消、去掉)因子被取消、去掉 被消化了。被消化了。長中含短,長中含短,留下短。留下短。322.反變量反變量的吸收:的吸收:證明:證明:例如:例如:被吸收被吸收長中含反,長中含反,去掉反。去掉反。字母上面沒有非運(yùn)算符的叫做原變量字母上面沒有非運(yùn)算符的叫做原變量有非運(yùn)算符的叫做反變量有非運(yùn)算符的叫做反變量33五、反演定理五、反演定理可以用列真值表的方法證明:可以用列真值表的方法證明:德德 摩根摩根(De Morgan)定理:定理:34反演定理內(nèi)容:反演定理內(nèi)容:將函數(shù)式將函數(shù)式 F 中所有的中所有的 +變量與常數(shù)均取反變量與常數(shù)均取反(求反運(yùn)算)(求反運(yùn)算)互補(bǔ)運(yùn)算互補(bǔ)運(yùn)算1.運(yùn)算順序:先括號運(yùn)算順序:先括號 再乘法再乘法 后加法。后加法。2.不是一個(gè)變量上的反號不動。不是一個(gè)變量上的反號不動。注意注意:用處:用處:實(shí)現(xiàn)互補(bǔ)運(yùn)算(求反運(yùn)算)。實(shí)現(xiàn)互補(bǔ)運(yùn)算(求反運(yùn)算)。新表達(dá)式:新表達(dá)式:F顯然:顯然:(變換時(shí),原函數(shù)運(yùn)算的先后順序不變變換時(shí),原函數(shù)運(yùn)算的先后順序不變)(反函數(shù),補(bǔ)函數(shù)反函數(shù),補(bǔ)函數(shù))35例題:例題:與或式與或式注意括號注意括號注意注意括號括號361.4 邏輯函數(shù)的表示法邏輯函數(shù)的表示法四四種種表表示示方方法法邏輯代數(shù)式邏輯代數(shù)式 (邏輯表示式邏輯表示式,邏輯函數(shù)式邏輯函數(shù)式)11&1ABY 邏輯電路圖邏輯電路圖:卡諾圖卡諾圖n個(gè)輸入變量個(gè)輸入變量 種組合種組合。真值表:真值表:將邏輯函數(shù)輸入變量取值的不同組合將邏輯函數(shù)輸入變量取值的不同組合與所對應(yīng)的輸出變量值用列表的方式與所對應(yīng)的輸出變量值用列表的方式一一對應(yīng)列出的表格。一一對應(yīng)列出的表格。37將輸入、輸出的所有可能狀態(tài)一一對應(yīng)地列將輸入、輸出的所有可能狀態(tài)一一對應(yīng)地列出。出。n個(gè)變量可以有個(gè)變量可以有2n個(gè)輸入狀態(tài)。個(gè)輸入狀態(tài)。1.4.1 真值表真值表列真值表的方法:列真值表的方法:一般按二進(jìn)制的順一般按二進(jìn)制的順序,輸出與輸入狀序,輸出與輸入狀態(tài)一一對應(yīng),列出態(tài)一一對應(yīng),列出所有可能的狀態(tài)。所有可能的狀態(tài)。381.4.2 邏輯函數(shù)式邏輯函數(shù)式邏輯代數(shù)式:邏輯代數(shù)式:把邏輯函數(shù)的輸入、輸出關(guān)把邏輯函數(shù)的輸入、輸出關(guān)系寫成與、或、非等邏輯運(yùn)算的組合系寫成與、或、非等邏輯運(yùn)算的組合式。也稱為邏輯函數(shù)式,式。也稱為邏輯函數(shù)式,通常采用通常采用“與或與或”的形式。的形式。例:例:與與普普通通代代數(shù)數(shù)不不同同的的是是,在在邏邏輯輯代代數(shù)數(shù)中中,不不管管是是變變量量還還是是函函數(shù)數(shù),其其取取值值都都只只能能是是0或或1,并并且且這這里里的的0和和1只表示兩種不同的狀態(tài),沒有數(shù)量的含義。只表示兩種不同的狀態(tài),沒有數(shù)量的含義。39一一個(gè)個(gè)邏邏輯輯函函數(shù)數(shù)的的表表達(dá)達(dá)式式可可以以有有與與或或表表達(dá)達(dá)式式、或或與與表表達(dá)達(dá)式式、與與非非-與與非非表表達(dá)達(dá)式式、或或非非-或或非表達(dá)式、與或非表達(dá)式非表達(dá)式、與或非表達(dá)式5種表示形式種表示形式一一種種形形式式的的函函數(shù)數(shù)表表達(dá)達(dá)式式相相應(yīng)應(yīng)于于一一種種邏邏輯輯電電路路。盡盡管管一一個(gè)個(gè)邏邏輯輯函函數(shù)數(shù)表表達(dá)達(dá)式式的的各各種種表表示示形形式式不不同同,但但邏輯功能是相同的邏輯功能是相同的40邏輯函數(shù)的標(biāo)準(zhǔn)形式&邏邏輯輯函函數(shù)數(shù)可可以以表表示示為為最最小小項(xiàng)項(xiàng)之之和和的的形形式式(與與或或表表達(dá)達(dá)式式)或或者者最最大大項(xiàng)項(xiàng)之之積積的的形形式式(或與表達(dá)式)(或與表達(dá)式)&應(yīng)應(yīng)用用最最多多的的是是最最小小項(xiàng)項(xiàng)之之和和的的形形式式,也也叫叫最小項(xiàng)標(biāo)準(zhǔn)式最小項(xiàng)標(biāo)準(zhǔn)式。&最小項(xiàng)也是卡諾圖化簡的基礎(chǔ)。最小項(xiàng)也是卡諾圖化簡的基礎(chǔ)。BACK41最小項(xiàng)(MinTerm)邏邏輯輯函函數(shù)數(shù)有有n個(gè)個(gè)變變量量,由由它它們們組組成成的的具具有有n個(gè)個(gè)變變量量的的乘乘積積項(xiàng)項(xiàng)中中,每每個(gè)個(gè)變變量量以以原原變變量量或或反反變變量量的的形形式式出出現(xiàn)現(xiàn)且且僅僅出出現(xiàn)現(xiàn)一一次次,這這個(gè)個(gè)乘乘積項(xiàng)為最小項(xiàng)。積項(xiàng)為最小項(xiàng)。N個(gè)變量有個(gè)變量有2n個(gè)最小項(xiàng)。個(gè)最小項(xiàng)。例如:n=3,對A、B、C,有8個(gè)最小項(xiàng)42最小項(xiàng)(續(xù))對任意最小項(xiàng),只有一組變量取值使它的值為1,其他取值使該最小項(xiàng)為0為方便起見,將最小項(xiàng)表示為min=3的8個(gè)最小項(xiàng)為:43最小項(xiàng)(續(xù))任任何何邏邏輯輯函函數(shù)數(shù)均均可可表表示示為為唯唯一一的的一一組組最最小小項(xiàng)項(xiàng)之之和和的形式,稱為標(biāo)準(zhǔn)的與或表達(dá)式的形式,稱為標(biāo)準(zhǔn)的與或表達(dá)式某某一一最最小小項(xiàng)項(xiàng)不不是是包包含含在在F的的原原函函數(shù)數(shù)中中,就就是是包包含含在在F的反函數(shù)中的反函數(shù)中例:44最大項(xiàng)(MaxTerm)n個(gè)變量組成的或項(xiàng),每個(gè)變量以原變量或反變量的形式出現(xiàn)且僅出現(xiàn)一次,則稱這個(gè)或項(xiàng)為最大項(xiàng)例如:n=3的最大項(xiàng)為45最大項(xiàng)(續(xù))對任意一個(gè)最大項(xiàng),只有一組變量取值使它的值為0,而變量的其他取值使該項(xiàng)為1將最大項(xiàng)記作Mi任何一個(gè)邏輯函數(shù)均可表示為唯一的一組最大項(xiàng)之積,稱為標(biāo)準(zhǔn)的或與表達(dá)式n個(gè)變量全體最大項(xiàng)之積必為“0”某個(gè)最大項(xiàng)不是含在F的原函數(shù)中,就是在F的反函數(shù)中46最大項(xiàng)(續(xù))例如:BACK471.4.3 卡諾圖卡諾圖卡諾圖的構(gòu)成:卡諾圖的構(gòu)成:將將n個(gè)輸入變量的全部最小項(xiàng)用個(gè)輸入變量的全部最小項(xiàng)用小方塊陣列圖表示,并且將邏輯相鄰的最小小方塊陣列圖表示,并且將邏輯相鄰的最小項(xiàng)放在相鄰的幾何位置上,所得到的陣列圖項(xiàng)放在相鄰的幾何位置上,所得到的陣列圖就是就是n變量的卡諾圖。變量的卡諾圖。最小項(xiàng):最小項(xiàng):構(gòu)成邏輯函數(shù)的基本單元。對應(yīng)于輸入變構(gòu)成邏輯函數(shù)的基本單元。對應(yīng)于輸入變量的每一種組合。量的每一種組合。變量賦值為變量賦值為1時(shí)用該變量表示(時(shí)用該變量表示(原變量原變量)變量賦值為變量賦值為0時(shí)用該變量的反來表示(時(shí)用該變量的反來表示(反變量反變量)邏輯相鄰:邏輯相鄰:若兩個(gè)最小項(xiàng)只有一個(gè)變量以原、反區(qū)若兩個(gè)最小項(xiàng)只有一個(gè)變量以原、反區(qū)別,其他變量均相同,則稱這兩個(gè)最小項(xiàng)邏輯別,其他變量均相同,則稱這兩個(gè)最小項(xiàng)邏輯相鄰。相鄰。48最小項(xiàng):最小項(xiàng):輸入變量的每一種組合。輸入變量的每一種組合。A B Y 0 0 1 0 1 1 1 0 1 1 1 0AB01010111輸出變量輸出變量Y的值的值輸入變量輸入變量二輸入變量卡諾圖二輸入變量卡諾圖卡諾圖的每一個(gè)方塊(最小項(xiàng))代表一種輸入組卡諾圖的每一個(gè)方塊(最小項(xiàng))代表一種輸入組合,并且把對應(yīng)的輸入組合注明在陣列圖的上方合,并且把對應(yīng)的輸入組合注明在陣列圖的上方和左方。和左方。491.4.4 邏輯圖邏輯圖把相應(yīng)的邏輯關(guān)系用邏輯符號和連線表示把相應(yīng)的邏輯關(guān)系用邏輯符號和連線表示出來,就構(gòu)成了邏輯圖。出來,就構(gòu)成了邏輯圖。&AB&CD 1FF=AB+CD501.4.5 邏輯函數(shù)四種表示方式的相互轉(zhuǎn)換邏輯函數(shù)四種表示方式的相互轉(zhuǎn)換一、邏輯電路圖一、邏輯電路圖邏輯代數(shù)式邏輯代數(shù)式BABY=A B+ABA BA1&AB&1151 二、真值表二、真值表卡諾圖卡諾圖 A B Y 0 0 1 0 1 1 1 0 1 1 1 0二變量卡諾圖二變量卡諾圖真值表真值表AB1010111052三、真值表、卡諾圖三、真值表、卡諾圖邏輯代數(shù)式邏輯代數(shù)式方法:方法:將真值表或卡諾圖中為將真值表或卡諾圖中為1的的項(xiàng)相加,寫成項(xiàng)相加,寫成“與或式與或式”真值表真值表 A B Y 0 0 1 0 1 1 1 0 1 1 1 0AB01010111AB實(shí)際上這是與非門的真值表:實(shí)際上這是與非門的真值表:YAB故故此邏輯代數(shù)式并非是最簡單的形式,需要此邏輯代數(shù)式并非是最簡單的形式,需要化簡化簡ABAB531.5 邏輯函數(shù)的化簡邏輯函數(shù)的化簡最簡與或式最簡與或式乘積項(xiàng)的乘積項(xiàng)的項(xiàng)數(shù)最少。項(xiàng)數(shù)最少。每個(gè)乘積項(xiàng)中每個(gè)乘積項(xiàng)中變量個(gè)數(shù)最少。變量個(gè)數(shù)最少。邏輯函數(shù)化簡的意義:邏輯表達(dá)式越簡單,實(shí)現(xiàn)邏輯函數(shù)化簡的意義:邏輯表達(dá)式越簡單,實(shí)現(xiàn)它的電路越簡單,電路工作越穩(wěn)定可靠。它的電路越簡單,電路工作越穩(wěn)定可靠。利用邏輯代數(shù)的基本公式利用邏輯代數(shù)的基本公式邏邏輯輯函函數(shù)數(shù)的的公公式式化化簡簡法法就就是是運(yùn)運(yùn)用用邏邏輯輯代代數(shù)數(shù)的的基基本本公公式式、定理和規(guī)則來化簡邏輯函數(shù)。定理和規(guī)則來化簡邏輯函數(shù)。利用卡諾圖化簡利用卡諾圖化簡卡諾圖適用于輸入變量為卡諾圖適用于輸入變量為3、4個(gè)的邏輯代數(shù)式的個(gè)的邏輯代數(shù)式的化簡;化簡過程比公式法簡單直觀?;啠换嗊^程比公式法簡單直觀。54利用邏輯代數(shù)的基本公式利用邏輯代數(shù)的基本公式例例1:反變量吸收反變量吸收提出提出AB=1提出提出A55例例2:反演反演配項(xiàng)配項(xiàng)被吸收被吸收被吸收被吸收利利用用邏邏輯輯代代數(shù)數(shù)的的基基本本公公式式56卡諾圖化簡的步驟 按照循環(huán)碼規(guī)律指定卡諾圖變量取值;按照循環(huán)碼規(guī)律指定卡諾圖變量取值;在在函函數(shù)數(shù)最最小小項(xiàng)項(xiàng)對對應(yīng)應(yīng)的的小小方方塊塊填填“1”1”,其其他他方方塊塊填填“0”0”;合合并并相相鄰鄰填填“1”1”的的小小方方塊塊,兩兩個(gè)個(gè)方方塊塊合合并并消消去去一一個(gè)個(gè)變變量量(一一維維塊塊);4 4個(gè)個(gè)方方塊塊合合并并消消去去兩兩個(gè)個(gè)變變量量(二維塊);(二維塊);合并過程中先找大圈合并,圈越大消去的變量越多;合并過程中先找大圈合并,圈越大消去的變量越多;使使每每一一最最小小項(xiàng)項(xiàng)至至少少被被合合并并包包含含過過一一次次;每每個(gè)個(gè)合合并并的的圈圈中中,至至少少要要有有一一個(gè)個(gè)“1”1”沒沒有有被被圈圈過過,否否則則這這個(gè)個(gè)圈就是多余的。圈就是多余的。57鄭州大學(xué)鄭州大學(xué) 計(jì)算機(jī)組成原理計(jì)算機(jī)組成原理 補(bǔ)充內(nèi)容補(bǔ)充內(nèi)容2.2.邏輯門電路邏輯門電路2.1 概述2.2 門電路的實(shí)現(xiàn)2.3 集成電路 2.4 OC門2.5 三態(tài)門2.1 概述概述門門電電路路:實(shí)實(shí)現(xiàn)現(xiàn)基基本本邏邏輯輯運(yùn)運(yùn)算算和和常常用用復(fù)復(fù)合合邏邏輯輯運(yùn)運(yùn)算算的的電子電路(邏輯器件)電子電路(邏輯器件)門門電電路路的的主主要要類類型型:與與門門、或或門門、與與非非門門、或或非非門門、異或門等。異或門等。門電路的輸出狀態(tài)與賦值對應(yīng)關(guān)系:門電路的輸出狀態(tài)與賦值對應(yīng)關(guān)系:正邏輯:正邏輯:高電位對應(yīng)高電位對應(yīng)“1”;低電位對應(yīng);低電位對應(yīng)“0”?;旌线壿嫞夯旌线壿嫞狠斎胗谜壿?、輸出用負(fù)邏輯;或者輸輸入用正邏輯、輸出用負(fù)邏輯;或者輸入用負(fù)邏輯、輸出用正邏輯。入用負(fù)邏輯、輸出用正邏輯。一般采用一般采用正邏輯正邏輯負(fù)邏輯:負(fù)邏輯:高電位對應(yīng)高電位對應(yīng)“0”;低電位對應(yīng);低電位對應(yīng)“1”。59負(fù)邏輯門符號畫法負(fù)邏輯門符號畫法在在對對應(yīng)應(yīng)的的輸輸入入端端、輸輸出出端端上上加加一一個(gè)個(gè)小小圓圓圈圈O(表示相反的含義)加以區(qū)別(表示相反的含義)加以區(qū)別小小圓圓圈圈當(dāng)當(dāng)作作非非號號,一一條條線線兩兩端端同同時(shí)時(shí)加加上上或或消消去去小圓圈邏輯功能不變小圓圈邏輯功能不變一一條條線線上上小小圓圓圈圈從從一一端端可可移移到到另另一一端端,其其邏邏輯輯功能不變功能不變在在一一個(gè)個(gè)邏邏輯輯符符號號的的輸輸入入端端和和輸輸出出端端同同時(shí)時(shí)加加上上(或或去去掉掉)小小圓圓圈圈,并并將將加加小小圓圓圈圈的的門門如如是是與與門門改改為為或或門門,如如是是或或門門改改為為與與門門,其其邏邏輯輯功功能能不變不變60門門(電子開關(guān)電子開關(guān))滿足一定條件時(shí),電路允滿足一定條件時(shí),電路允 許信號通過許信號通過 開關(guān)接通開關(guān)接通。開門狀態(tài):開門狀態(tài):關(guān)門狀態(tài):關(guān)門狀態(tài):條件不滿足時(shí),信號通不條件不滿足時(shí),信號通不過過 開關(guān)斷開開關(guān)斷開。61100VVcc在在數(shù)數(shù)字字電電路路中中,對對電電壓壓值值為為多多少少并并不不重重要要,只要能判斷高低電平即可。只要能判斷高低電平即可。K開開-VO輸出高電平,對應(yīng)輸出高電平,對應(yīng)“1”K合合-VO輸出低電平,對應(yīng)輸出低電平,對應(yīng)“0”VOKVccR V V62開關(guān)開關(guān)作用作用二極管二極管反向截止:反向截止:開關(guān)接通開關(guān)接通開關(guān)斷開開關(guān)斷開三極管三極管(C,E)飽和區(qū):飽和區(qū):截止區(qū):截止區(qū):開關(guān)接通開關(guān)接通CEB開關(guān)斷開開關(guān)斷開 正向?qū)ǎ赫驅(qū)ǎ篊EB632.2 門電路的實(shí)現(xiàn)門電路的實(shí)現(xiàn)1.分立元件門電路分立元件門電路體積大、工作不可靠體積大、工作不可靠需要不同電源需要不同電源各種門的輸入、輸出電平不匹配各種門的輸入、輸出電平不匹配2.集成電路集成電路TTL型門電路型門電路輸輸入入和和輸輸出出端端結(jié)結(jié)構(gòu)構(gòu)都都采采用用半半導(dǎo)導(dǎo)體體晶晶體體管管(TTL:Transistor-Transistor Logic)優(yōu)優(yōu)點(diǎn)點(diǎn)是是開開關(guān)關(guān)速速度度較較高高,抗抗干干擾擾能能力力較較強(qiáng)強(qiáng),帶帶負(fù)負(fù)載載的的能力也比較強(qiáng),缺點(diǎn)是功耗較大能力也比較強(qiáng),缺點(diǎn)是功耗較大缺點(diǎn)缺點(diǎn)64金屬金屬-氧化物氧化物-半導(dǎo)體場效應(yīng)管半導(dǎo)體場效應(yīng)管MOS(Metel-Oxide-Semiconductor Field Effect Transister)缺點(diǎn):缺點(diǎn):工作速度比工作速度比TTL低低 2.電壓控制元件,靜態(tài)功耗小電壓控制元件,靜態(tài)功耗小3.允許電源電壓范圍寬(允許電源電壓范圍寬(3 18V)4.扇出系數(shù)大,抗噪聲容限大扇出系數(shù)大,抗噪聲容限大優(yōu)點(diǎn)優(yōu)點(diǎn)1.工藝簡單,集成度高工藝簡單,集成度高扇入系數(shù)扇入系數(shù):門電路允許的輸入端數(shù)目,一般不超過:門電路允許的輸入端數(shù)目,一般不超過8扇出系數(shù)扇出系數(shù):門的輸出端能連接的下一級輸入端的個(gè)數(shù),一般為:門的輸出端能連接的下一級輸入端的個(gè)數(shù),一般為83.集成電路集成電路MOS型門電路型門電路65在在一一塊塊半半導(dǎo)導(dǎo)體體基基片片上上制制作作出出一一個(gè)個(gè)完完整整的的邏邏輯輯電電路路所所需需要要的的全全部部元元件件和和連連線線。使使用用時(shí)時(shí)接接:電電源源、輸輸入入和和輸輸出出。數(shù)數(shù)字字集集成成電電路路具具有有體體積積小小、可可靠靠性性高高、速度快、而且價(jià)格便宜的特點(diǎn)。速度快、而且價(jià)格便宜的特點(diǎn)。邏輯門數(shù)邏輯門數(shù)10個(gè)以下:個(gè)以下:小規(guī)模小規(guī)模集成電路集成電路(Small Scale Integration:SSI)邏輯門數(shù)邏輯門數(shù)1099個(gè):個(gè):中規(guī)模中規(guī)模集成電路集成電路(Medium Scale Integration:MSI)邏輯門數(shù)邏輯門數(shù)1009999個(gè):個(gè):大規(guī)模大規(guī)模集成電路集成電路(Large Scale Integration:LSI)邏輯門數(shù)邏輯門數(shù)10000個(gè)以上:個(gè)以上:超大規(guī)模超大規(guī)模集成電路集成電路(Very Large Scale Integration:VLSI)2.3 集成電路集成電路IC66集成電路規(guī)模的劃分,目前在際上尚無嚴(yán)格、確切的定義。在發(fā)展過程中,逐漸形成一種近似的劃分意見,按芯片上所含邏輯門電路或晶體管的個(gè)數(shù)作為劃分標(biāo)志。一般人們將單塊芯片上包含100個(gè)元件或10個(gè)邏輯門以下的集成電路稱為小規(guī)模集成電路;而將元件數(shù)在100個(gè)以上、1000個(gè)以下,或邏輯門在10個(gè)以上、100個(gè)以下的稱為中規(guī)模集成電路;門數(shù)有100100000個(gè)元件的稱大規(guī)模集成電路(LSI),門數(shù)超過5000個(gè),或元件數(shù)高于10萬個(gè)的則稱超大規(guī)模集成電路(VLSI)。注:以上描述和前面的劃分方法不同。集成電路相關(guān)集成電路相關(guān)67集成電路的類型 二極管二極管-晶體管邏輯門(晶體管邏輯門(DTL)集集 晶體三極管晶體三極管-晶體三極管邏輯門晶體三極管邏輯門(TTL)成成 雙極型雙極型 射極耦合邏輯門射極耦合邏輯門(ECL)邏邏 集成注入邏輯門電路集成注入邏輯門電路()輯輯 N溝道溝道MOS門門(NMOS)門門 單極型單極型(MOS型型)P 溝道溝道MOS門門 (PMOS)互補(bǔ)互補(bǔ)MOS門門(CMOS)68半導(dǎo)體三極管(BJT-Bipolar Junction Transistor),又常稱為晶體管,是通過一定工藝,將兩個(gè)PN結(jié)結(jié)合在一起的器件。晶體管是用輸入電流控制輸出電流的電流控制器件,由導(dǎo)電載流子空穴和電子共同形成電流,因此又稱為雙極型晶體管或雙向晶體管。場效應(yīng)管是用輸入電場控制輸出電流的電壓控制器件,導(dǎo)電載流子只有空穴或電子一種,因此又稱為單極型晶體管或單向晶體管。在電子技術(shù)中一種由半導(dǎo)體材料制成的電子器件,可以用來控制電路中的電流。它分為雙極型和單極型兩種型式。雙極型晶體管由一塊分層的n-p-n或p-n-p半導(dǎo)體材料組成,具有發(fā)射極、基 極和集電極三條引接端,其電流是由正、負(fù)兩種載流子共同產(chǎn)生的。在單極型晶體管中,引接端 叫作源極、柵極和漏極,其電流是由多數(shù)載流子產(chǎn)生的。三極管、晶體管、單極晶體管和雙極晶體管的區(qū)別三極管、晶體管、單極晶體管和雙極晶體管的區(qū)別69TTL門電路及改進(jìn)74系列74xx普通標(biāo)準(zhǔn)普通標(biāo)準(zhǔn)TTL74LSxx 低功耗肖特基低功耗肖特基TTL74Sxx肖特基肖特基TTL(抗飽和抗飽和)74ALSxx先進(jìn)低功耗肖特基先進(jìn)低功耗肖特基TTL74ASxx先進(jìn)肖特基先進(jìn)肖特基TTL74Fxx高速高速TTL 7074LS004個(gè)個(gè)2輸入與非門輸入與非門74LS202個(gè)個(gè)4輸入與非門輸入與非門7174LS04 6個(gè)反相器個(gè)反相器7274LS02 4個(gè)個(gè)2輸入或非門輸入或非門73&ABEF&CD&G1&ABEF&CDG 能否能否“線與線與”?答案:答案:TTL與非門不允許直接線與與非門不允許直接線與集電極開路的邏輯門(集電極開路的邏輯門(Open Collector)2.4 OC門門問題:問題:TTL與非門能否直接線與?與非門能否直接線與?74OC門可以實(shí)現(xiàn)門可以實(shí)現(xiàn)“線與線與”功能。功能。&UCCF1F2F3FRLF=F1F2F3&符號符號輸出端要接上拉負(fù)載電阻輸出端要接上拉負(fù)載電阻 RL RL 和和UCC 可以外接可以外接ABF 國標(biāo)國標(biāo)ABF慣用慣用75OC門的應(yīng)用門的應(yīng)用實(shí)現(xiàn)實(shí)現(xiàn)“線與線與”邏輯功能邏輯功能實(shí)現(xiàn)電平轉(zhuǎn)換實(shí)現(xiàn)電平轉(zhuǎn)換例例如如,把把輸輸出出高高電電平平轉(zhuǎn)轉(zhuǎn)換換為為10V時(shí)時(shí),可可將將外外接的上拉電阻接到接的上拉電阻接到10V電源上電源上用做驅(qū)動器用做驅(qū)動器用用OC門門來來驅(qū)驅(qū)動動指指示示燈燈,繼繼電電器器和和脈脈沖沖變變壓壓器等器等實(shí)現(xiàn)總線傳輸實(shí)現(xiàn)總線傳輸76正常輸出端有兩種狀態(tài)正常輸出端有兩種狀態(tài)u高電平狀態(tài)高電平狀態(tài)u低電平狀態(tài)低電平狀態(tài) 三態(tài)門具有第三種狀態(tài)三態(tài)門具有第三種狀態(tài)u高阻狀態(tài)(懸空狀態(tài)、禁止?fàn)顟B(tài))高阻狀態(tài)(懸空狀態(tài)、禁止?fàn)顟B(tài))u輸出阻抗很高(相當(dāng)于與其他電路無關(guān))輸出阻抗很高(相當(dāng)于與其他電路無關(guān))u不是高電平,也不是低電平不是高電平,也不是低電平u具有一個(gè)控制端具有一個(gè)控制端u控制端無效,輸出高阻狀態(tài)控制端無效,輸出高阻狀態(tài)2.5 三態(tài)門(三態(tài)門(TS門)門)77&ABF符號符號功能表功能表三態(tài)門的符號及功能表三態(tài)門的符號及功能表&ABF符號符號功能表功能表使能端使能端高電平高電平起作用起作用使能端使能端低電平低電平起作用起作用78E1E2E3公公用用總總線線三態(tài)門主要作為三態(tài)門主要作為TTL電路與電路與總線總線間的間的接口電路接口電路。三態(tài)門的用途三態(tài)門的用途工作時(shí),工作時(shí),E1、E2、E3分時(shí)分時(shí)接入高電平接入高電平79 三態(tài)門三態(tài)門(兩輸入與非兩輸入與非)AB&AB&AB國標(biāo)國標(biāo)國外國外AFEAFAFEAF三態(tài)緩沖器三態(tài)緩沖器反相器反相器高電平有效高電平有效反相器反相器低電平有效低電平有效同相器同相器低電平有效低電平有效同相器同相器高電平有效高電平有效80鄭州大學(xué)鄭州大學(xué) 計(jì)算機(jī)組成原理計(jì)算機(jī)組成原理 補(bǔ)充內(nèi)容補(bǔ)充內(nèi)容3.3.組合邏輯電路組合邏輯電路3.1 3.1 概述概述3.2 3.2 編碼器編碼器3.3 3.3 譯碼器譯碼器 3.4 3.4 加法器加法器3.5 3.5 數(shù)據(jù)選擇器數(shù)據(jù)選擇器3.1 概述概述邏邏輯輯電電路路組合組合邏輯電路邏輯電路時(shí)序時(shí)序邏輯電路邏輯電路功能:功能:輸出只取決于輸出只取決于 當(dāng)前的輸入。當(dāng)前的輸入。組成:組成:門電路,不存在門電路,不存在記憶元件。記憶元件。功能:功能:輸出取決于當(dāng)輸出取決于當(dāng)前的輸入和原前的輸入和原來的狀態(tài)。來的狀態(tài)。組成:組成:組合電路、記組合電路、記憶元件。憶元件。82組合電路的研究內(nèi)容:組合電路的研究內(nèi)容:分析:分析:設(shè)計(jì):設(shè)計(jì):給定給定 邏輯圖邏輯圖得到得到邏輯功能邏輯功能分析分析 給定給定邏輯功能邏輯功能畫出畫出 邏輯圖邏輯圖設(shè)計(jì)設(shè)計(jì)833.2 編碼器編碼器所謂所謂編碼編碼就是賦予選定的一系列二進(jìn)制代碼以就是賦予選定的一系列二進(jìn)制代碼以固定的含義。固定的含義。n個(gè)二進(jìn)制代碼(個(gè)二進(jìn)制代碼(n位二進(jìn)制數(shù))有位二進(jìn)制數(shù))有2n種種不同的組合,可以表示不同的組合,可以表示2n個(gè)信號。個(gè)信號。一、二進(jìn)制編碼器一、二進(jìn)制編碼器二進(jìn)制編碼器的作用:二進(jìn)制編碼器的作用:將一系列信號狀態(tài)編制成將一系列信號狀態(tài)編制成二進(jìn)制代碼。二進(jìn)制代碼。84例:例:用與非門組成三位二進(jìn)制編碼器。用與非門組成三位二進(jìn)制編碼器。-八線八線-三線編碼器三線編碼器設(shè)八個(gè)輸入端為設(shè)八個(gè)輸入端為I1 I8,八種狀態(tài),八種狀態(tài),與之對應(yīng)的輸出設(shè)為與之對應(yīng)的輸出設(shè)為F1、F2、F3,共三,共三位二進(jìn)制數(shù)。位二進(jìn)制數(shù)。設(shè)計(jì)編碼器的過程與設(shè)計(jì)一般的組合設(shè)計(jì)編碼器的過程與設(shè)計(jì)一般的組合邏輯電路相同,首先要列出狀態(tài)表(即真邏輯電路相同,首先要列出狀態(tài)表(即真值表),然后寫出邏輯表達(dá)式并進(jìn)行化簡,值表),然后寫出邏輯表達(dá)式并進(jìn)行化簡,最后畫出邏輯圖最后畫出邏輯圖。85真值表真值表86I1I2I3I4I5I6I7I8&F3F2F18-3 編碼器邏輯圖編碼器邏輯圖87譯碼是編碼的逆過程,即將某二進(jìn)制翻譯成電譯碼是編碼的逆過程,即將某二進(jìn)制翻譯成電路的某種狀態(tài)。路的某種狀態(tài)。一、二進(jìn)制譯碼器一、二進(jìn)制譯碼器二進(jìn)制譯碼器的作用:二進(jìn)制譯碼器的作用:將將n種輸入的組合譯成種輸入的組合譯成2n種電路狀態(tài)。也叫種電路狀態(tài)。也叫n-2n線譯碼器。線譯碼器。譯碼器的輸入譯碼器的輸入 一組二進(jìn)制代碼一組二進(jìn)制代碼譯碼器的輸出譯碼器的輸出一組高低電平信號一組高低電平信號3.3 譯碼器譯碼器88&A1A02-4線譯碼器線譯碼器74LS139的內(nèi)部線路的內(nèi)部線路輸入輸入控制端控制端輸出輸出8974LS139的功能表的功能表“”表示低電平有效。表示低電平有效。9074LS139管腳圖管腳圖一片一片139種含兩個(gè)種含兩個(gè)2-4譯碼器譯碼器91例:例:利用線譯碼器分時(shí)將采樣數(shù)據(jù)送入計(jì)算機(jī)。利用線譯碼器分時(shí)將采樣數(shù)據(jù)送入計(jì)算機(jī)。2-4線譯線譯碼器碼器ABCD三態(tài)門三態(tài)門三態(tài)門三態(tài)門三態(tài)門三態(tài)門三態(tài)門三態(tài)門總總線線922-4線譯線譯碼器碼器ABCD三態(tài)門三態(tài)門三態(tài)門三態(tài)門三態(tài)門三態(tài)門三態(tài)門三態(tài)門總總線線000全為全為1工作原理工作原理:(以:(以A0A1=00為例)為例)數(shù)數(shù)據(jù)據(jù)脫離總線脫離總線933位二進(jìn)制譯碼器位二進(jìn)制譯碼器真值表真值表輸輸入入:3位二進(jìn)制代碼位二進(jìn)制代碼輸輸出出:8個(gè)互斥的信號個(gè)互斥的信號94邏輯表達(dá)式邏輯表達(dá)式邏輯圖邏輯圖電路特點(diǎn)電路特點(diǎn):與門組成的陣列:與門組成的陣列95集成二進(jìn)制譯碼器集成二進(jìn)制譯碼器74LS138A2、A1、A0為二進(jìn)制譯碼輸入端,為譯碼輸出端(低電平有效),G1、為選通控制端。當(dāng)G11、時(shí),譯碼器處于工作狀態(tài);當(dāng)G10、時(shí),譯碼器處于禁止?fàn)顟B(tài)。96真值表真值表輸輸入入:自然二進(jìn)制碼:自然二進(jìn)制碼輸輸出出:低電平有效:低電平有效971 1 0 11 0 0 1+舉例:舉例:A=1101,B=1001,計(jì)算計(jì)算A+B。011010011加法運(yùn)算的基本規(guī)則加法運(yùn)算的基本規(guī)則:(1)逢二進(jìn)一。逢二進(jìn)一。(2)最低位是兩個(gè)數(shù)最低位的疊加,不需考慮進(jìn)位。最低位是兩個(gè)數(shù)最低位的疊加,不需考慮進(jìn)位。(3)其余各位都是三個(gè)數(shù)相加,包括被加數(shù)、加數(shù)其余各位都是三個(gè)數(shù)相加,包括被加數(shù)、加數(shù)和低位來的進(jìn)位。和低位來的進(jìn)位。(4)任何位相加都產(chǎn)生兩個(gè)結(jié)果:本位和、向高位任何位相加都產(chǎn)生兩個(gè)結(jié)果:本位和、向高位的進(jìn)位。的進(jìn)位。用半加器實(shí)現(xiàn)用半加器實(shí)現(xiàn)用全加器實(shí)現(xiàn)用全加器實(shí)現(xiàn)3.4 加法器加法器98一、半加器一、半加器半加運(yùn)算不考慮從低位來的進(jìn)位半加運(yùn)算不考慮從低位來的進(jìn)位設(shè):設(shè):A-加數(shù);加數(shù);B-被加數(shù);被加數(shù);S-本位和;本位和;C-進(jìn)位進(jìn)位真值表真值表99邏輯圖邏輯圖半加器半加器(HA)AiBiCiSi邏輯符號邏輯符號=1&ABSC慣用符號慣用符號AiBiSiCi+1國標(biāo)符號國標(biāo)符號CO100二、全加器:二、全加器:輸入:輸入:Ai-加數(shù);加數(shù);Bi-被加數(shù);被加數(shù);Ci-低位的進(jìn)位低位的進(jìn)位輸出:輸出:Si-本位和;本位和;Ci+1-進(jìn)位進(jìn)位真值表真值表邏輯符號邏輯符號全加器全加器(FA)(FA)A Ai iB Bi iC Ci iS Si iC Ci+1i+1慣用符號慣用符號A Ai iB Bi iC Ci iS Si iC Ci+1i+1CICO國標(biāo)符號國標(biāo)符號101從一組數(shù)據(jù)中選擇一路信號進(jìn)行傳輸?shù)碾娐?,從一組數(shù)據(jù)中選擇一路信號進(jìn)行傳輸?shù)碾娐?,稱為稱為數(shù)據(jù)選擇器數(shù)據(jù)選擇器,又叫多路開關(guān),簡稱,又叫多路開關(guān),簡稱MUX(Multiplexer)控制信號控制信號輸輸入入信信號號輸輸出出信信號號數(shù)據(jù)選擇器類似一個(gè)多投開關(guān)。選擇哪一路信數(shù)據(jù)選擇器類似一個(gè)多投開關(guān)。選擇哪一路信號由相應(yīng)的一組控制信號控制。號由相應(yīng)的一組控制信號控制。A0A1D3D2D1D0W3.5 數(shù)據(jù)選擇器數(shù)據(jù)選擇器102一位數(shù)據(jù)選擇器:一位數(shù)據(jù)選擇器:從從n個(gè)一位數(shù)據(jù)中選擇一個(gè)數(shù)據(jù)。個(gè)一位數(shù)據(jù)中選擇一個(gè)數(shù)據(jù)。m位數(shù)據(jù)選擇器:位數(shù)據(jù)選擇器:從從n個(gè)個(gè)m位數(shù)據(jù)中選擇一個(gè)數(shù)據(jù)。位數(shù)據(jù)中選擇一個(gè)數(shù)據(jù)。W3X3Y3W3X2Y2W3X1Y1W3X0Y0A控制信號控制信號四四二二選選一一選選擇擇器器n=2,m=4103四選一集成數(shù)據(jù)選擇器四選一集成數(shù)據(jù)選擇器74LS153功能表功能表控制端控制端:為為 或或 ,低電平有效。,低電平有效。選擇端選擇端A1 A0:為兩個(gè)為兩個(gè)4選選1數(shù)據(jù)選擇器共用。數(shù)據(jù)選擇器共用。其中其中104鄭州大學(xué)鄭州大學(xué) 計(jì)算機(jī)組成原理計(jì)算機(jī)組成原理 補(bǔ)充內(nèi)容補(bǔ)充內(nèi)容4.4.時(shí)序邏輯電路時(shí)序邏輯電路4.1 概述4.2 基本 RS 觸發(fā)器4.3 常用觸發(fā)器 4.4 寄存器和計(jì)數(shù)器4.5 PLD簡介時(shí)序電路的特點(diǎn):時(shí)序電路的特點(diǎn):具有記憶功能。具有記憶功能。在在數(shù)數(shù)字字電電路路中中,凡凡是是任任一一時(shí)時(shí)刻刻的的穩(wěn)穩(wěn)定定輸輸出出不不僅僅決決定定于于該該時(shí)時(shí)刻刻的的輸輸入入,而而且且還還和和電電路路原原來來的的狀狀態(tài)態(tài)有有關(guān)關(guān)者者,都都叫叫做做時(shí)時(shí)序序邏邏輯輯電電路路,簡稱簡稱時(shí)序電路時(shí)序電路。組合邏輯電路組合邏輯電路存儲功能存儲功能.XYZW4.1 概述概述時(shí)序電路的基本單元:時(shí)序電路的基本單元:觸發(fā)器。觸發(fā)器。106觸發(fā)器的功能:觸發(fā)器的功能:形象地說,形象地說,它具有它具有“一觸即發(fā)一觸即發(fā)”的的功能。在輸入信號的作用下,它能夠從一種狀功能。在輸入信號的作用下,它能夠從一種狀態(tài)態(tài)(0或或1)轉(zhuǎn)變成另一種狀態(tài)轉(zhuǎn)變成另一種狀態(tài)(1或或0)。觸發(fā)器的特點(diǎn):觸發(fā)器的特點(diǎn):有記憶功能的邏輯部件。輸出狀態(tài)有記憶功能的邏輯部件。輸出狀態(tài)不只與現(xiàn)時(shí)的輸入有關(guān),還與原來的輸出狀態(tài)不只與現(xiàn)時(shí)的輸入有關(guān),還與原來的輸出狀態(tài)有關(guān)。有關(guān)。觸發(fā)器的分類:觸發(fā)器的分類:按功能分:按功能分:有有R-S觸發(fā)器、觸發(fā)器、D型觸發(fā)器、型觸發(fā)器、JK觸發(fā)器、觸發(fā)器、T型等;型等;按觸發(fā)方式劃分:按觸發(fā)方式劃分:有電平觸發(fā)方式、主從有電平觸發(fā)方式、主從觸發(fā)方式和邊沿觸發(fā)方式觸發(fā)方式和邊沿觸發(fā)方式。107兩個(gè)輸入端兩個(gè)輸入端4.2 基本基本 RS 觸發(fā)器觸發(fā)器&a&b反饋反饋兩個(gè)輸出端兩個(gè)輸出端反饋反饋正是由于正是由于引入反饋,引入反饋,才使電路具有才使電路具有記憶功能記憶功能!108輸入輸入RD=0,SD=1時(shí)時(shí)若原狀態(tài):若原狀態(tài):11001010輸出仍保持:輸出仍保持:&a&b若原狀態(tài):若原狀態(tài):01111010輸出變?yōu)椋狠敵鲎優(yōu)椋褐弥谩?”!&a&b109輸入輸入RD=1,SD=0時(shí)時(shí)若原狀態(tài):若原狀態(tài):10101001輸出變?yōu)椋狠敵鲎優(yōu)椋?amp;a&b若原狀態(tài):若原狀態(tài):00110101輸出保持:輸出保持:&a&b置置“1”!110輸入輸入RD=1,SD=1時(shí)時(shí)若原狀態(tài):若原狀態(tài):10111001輸出保持原狀態(tài):輸出保持原狀態(tài):若原狀態(tài):若原狀態(tài):01110110輸出保持原狀態(tài):輸出保持原狀態(tài):&a&b&a&b保持!保持!111輸入輸入RD=0,SD=0時(shí)時(shí)0011輸出:全是輸出:全是1注意:注意:當(dāng)當(dāng)RD、SD同時(shí)由同時(shí)由0變變?yōu)闉?時(shí),翻轉(zhuǎn)快的門輸出變?yōu)闀r(shí),翻轉(zhuǎn)快的門輸出變?yōu)?,另一個(gè)不得翻轉(zhuǎn)。因此,另一個(gè)不得翻轉(zhuǎn)。因此,該狀態(tài)為不定狀態(tài)。該狀態(tài)為不定狀態(tài)。&a&b基本觸發(fā)器的功能表基本觸發(fā)器的功能表RD SD Q1 10 11 00 0保持原狀態(tài)保持原狀態(tài)0 11 0不定狀態(tài)不定狀態(tài)復(fù)位端復(fù)位端置位端置位端邏輯符號邏輯符號1121.觸觸發(fā)發(fā)器器是是雙雙穩(wěn)穩(wěn)態(tài)態(tài)器器件件,只只要要令令RD=SD=1,觸觸發(fā)發(fā)器器即即保保持持原原態(tài)態(tài)。穩(wěn)穩(wěn)態(tài)態(tài)情情況況下下,兩兩輸輸出出互補(bǔ)。一般定義互補(bǔ)。一般定義Q為觸發(fā)器的狀態(tài)。為觸發(fā)器的狀態(tài)。2.在在控控制制端端加加入入負(fù)負(fù)脈脈沖沖,可可以以使使觸觸發(fā)發(fā)器器狀狀態(tài)態(tài)變變化化。SD端端加加入入負(fù)負(fù)脈脈沖沖,使使Q1,SD稱稱為為“置置位位”或或“置置1”端端。RD端端加加入入負(fù)負(fù)脈脈沖沖,使使Q0,RD稱為稱為“復(fù)位復(fù)位”或或“清清0”端。端。小小 結(jié)結(jié)113同步同步RS觸發(fā)器觸發(fā)器QQRDSDabRDSDcdRSCP“同同步步”的的含含義義:由由時(shí)時(shí)鐘鐘CP決決定定R、S能能否否對對輸輸出出端起控制作用。端起控制作用。直接清零端直接清零端直接置位端直接置位端輸出端輸出端輸入端輸入端RDSDRSCQ114QQRDSDabRDSDcdRSCP直接清零端直接清零端直接置位端直接置位端直接清零端、置位端的處理:直接清零端、置位端的處理:平時(shí)常平時(shí)常為為 1平時(shí)常平時(shí)常為為 1115紅紅色色線線無無圓圓圈圈表表示示:“高高電電平平有有效效”,即即“只只有有在在時(shí)時(shí)鐘鐘 CP1 時(shí)時(shí),它它才才表表現(xiàn)現(xiàn)出出應(yīng)應(yīng)有有的的邏邏輯輯功功能能;如如果果CP0,輸輸出出端端 Q 則則保保持原狀態(tài)持原狀態(tài)”QQRDSDRSC邏輯邏輯符號符號邏輯邏輯符號符號綠綠色色線線有有一一個(gè)個(gè)圓圓圈圈,表表示示:“低低電電平平有有效效”,即即“只只有有在在時(shí)時(shí)鐘鐘 CP0 時(shí)時(shí),它它才才表表現(xiàn)現(xiàn)出出應(yīng)應(yīng)有有的的邏邏輯輯功功能能;如如果果CP1,輸輸出出端端 Q 則則保持原狀態(tài)保持原狀態(tài)”QQRDSDRSC116R S 觸發(fā)器的電路結(jié)構(gòu)演變過程觸發(fā)器的電路結(jié)構(gòu)演變過程由兩個(gè)與非門構(gòu)成基由兩個(gè)與非門構(gòu)成基本本RS觸發(fā)器觸發(fā)器由四個(gè)與非門構(gòu)成同由四個(gè)與非門構(gòu)成同步步RS觸發(fā)器觸發(fā)器由九個(gè)與非門構(gòu)成主由九個(gè)與非門構(gòu)成主從從RS觸發(fā)器觸發(fā)器公共結(jié)構(gòu)公共結(jié)構(gòu)讓其接受讓其接受時(shí)鐘控制時(shí)鐘控制主主主主-從觸發(fā)器的主從觸發(fā)器的主從觸發(fā)器的主從觸發(fā)器的主要特點(diǎn)要特點(diǎn)要特點(diǎn)要特點(diǎn):用于設(shè)計(jì)用于設(shè)計(jì)用于設(shè)計(jì)用于設(shè)計(jì)計(jì)數(shù)器時(shí)計(jì)數(shù)器時(shí)計(jì)數(shù)器時(shí)計(jì)數(shù)器時(shí),附加電附加電附加電附加電路少路少路少路少,簡單簡單簡單簡單!1174.3 常用觸發(fā)器常用觸發(fā)器功能表功能表CPDQD觸發(fā)器的輸出波形觸發(fā)器的輸出波形邏輯符號邏輯符號RDSDD CQ結(jié)論:結(jié)論:Qn+1=D1.D觸發(fā)器(觸發(fā)器(D鎖存器)鎖存器)118觸發(fā)器的觸發(fā)方式觸發(fā)器的觸發(fā)方式觸發(fā)方式?觸發(fā)方式?研究翻轉(zhuǎn)時(shí)刻與研究翻轉(zhuǎn)時(shí)刻與時(shí)鐘脈沖間的關(guān)系時(shí)鐘脈沖間的關(guān)系電位觸發(fā)方式電位觸發(fā)方式電位觸電位觸發(fā)發(fā)正電位觸發(fā)正電位觸發(fā)負(fù)電位觸發(fā)負(fù)電位觸發(fā)CP=1 期間翻轉(zhuǎn)期間翻轉(zhuǎn)CP=0 期間翻轉(zhuǎn)期間翻轉(zhuǎn)119邊沿觸發(fā)方式邊沿觸發(fā)方式為為了了免免除除CP=1期期間間輸輸入入控控制制電電平平不不許許改改變變的的限限制制,可可采采用用邊邊沿沿觸觸發(fā)發(fā)方方式式。其其特特點(diǎn)點(diǎn)是是:觸觸發(fā)發(fā)器器只只在在時(shí)時(shí)鐘鐘跳跳轉(zhuǎn)轉(zhuǎn)時(shí)時(shí)發(fā)發(fā)生生翻翻轉(zhuǎn)轉(zhuǎn),而而在在CP1或或CP0期間,輸入端的任何變化都不影響輸出期間,輸入端的任何變化都不影響輸出如果翻轉(zhuǎn)發(fā)生在上升沿就叫如果翻轉(zhuǎn)發(fā)生在上升沿就叫“上升沿觸發(fā)上升沿觸發(fā)”或或“正邊沿觸發(fā)正邊沿觸發(fā)”。如果翻轉(zhuǎn)發(fā)生在下降沿。如果翻轉(zhuǎn)發(fā)生在下降沿就叫就叫“下降沿觸發(fā)下降沿觸發(fā)”或或“負(fù)邊緣觸發(fā)負(fù)邊緣觸發(fā)”120邊沿觸發(fā)的邊沿觸發(fā)的D觸發(fā)器功能表觸發(fā)器功能表正沿觸發(fā)正沿觸發(fā)觸發(fā)方式在邏輯符號中的表示:觸發(fā)方式在邏輯符號中的表示:CQ負(fù)沿負(fù)沿觸發(fā)觸發(fā)CQ正沿正沿觸發(fā)觸發(fā)DD121功能表功能表JK觸發(fā)器的功能小結(jié):觸發(fā)器的功能小結(jié):1.當(dāng)當(dāng)J=0、K=0時(shí),具有保持功能;時(shí),具有保持功能;2.當(dāng)當(dāng)J=1、K=1時(shí),具有翻轉(zhuǎn)功能;時(shí),具有翻轉(zhuǎn)功能;3.當(dāng)當(dāng)J=0、K=1時(shí),具有復(fù)位功能;時(shí),具有復(fù)位功能;4.當(dāng)當(dāng)J=1、K=0時(shí),具有置位功能。時(shí),具有置位功能。邏輯符號邏輯符號RDSDCQKJ2.JK觸發(fā)器觸發(fā)器1224.4 寄存器寄存器 1 數(shù)碼寄存器數(shù)碼寄存器Q3Q2Q1Q0&QQDQQDQQDQQDA0A1A2A3CLR取數(shù)取數(shù)脈沖脈沖接收接收脈沖脈沖(CP)寄存器是計(jì)算機(jī)的主要部件之一,它用來寄存器是計(jì)算機(jī)的主要部件之一,它用來暫時(shí)存放數(shù)據(jù)或指令。暫時(shí)存放數(shù)據(jù)或指令。四位數(shù)碼寄存器四位數(shù)碼寄存器1231 2 3 45 6 7109814 13 12 111516171819201Q 1D 2D 2Q 3Q 3D 4D 4Q GND輸出輸出控制控制時(shí)鐘時(shí)鐘VCC5D6D7D8D

注意事項(xiàng)

本文(計(jì)算機(jī)組成原理第二章補(bǔ)充課件(白中英編-科學(xué)出版社))為本站會員(沈***)主動上傳,裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!