歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

《數(shù)字電子技術(shù)》知識(shí)點(diǎn).doc

  • 資源ID:1655460       資源大?。?span id="wevag3k" class="font-tahoma">343KB        全文頁數(shù):14頁
  • 資源格式: DOC        下載積分:32積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要32積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說明有答案則都視為沒有答案,請(qǐng)知曉。

《數(shù)字電子技術(shù)》知識(shí)點(diǎn).doc

數(shù)字電子技術(shù)知識(shí)點(diǎn)第1章 數(shù)字邏輯基礎(chǔ)1數(shù)字信號(hào)、模擬信號(hào)的定義2數(shù)字電路的分類3數(shù)制、編碼其及轉(zhuǎn)換要求:能熟練在10進(jìn)制、2進(jìn)制、8進(jìn)制、16進(jìn)制、8421BCD之間進(jìn)行相互轉(zhuǎn)換。舉例1:(37.25)10= ( )2= ( )16= ( )8421BCD解:(37.25)10= (100101.01)2= ( 25.4)16= (00110111.00100101)8421BCD4基本邏輯運(yùn)算的特點(diǎn)與運(yùn)算:見零為零,全1為1;或運(yùn)算:見1為1,全零為零;與非運(yùn)算:見零為1,全1為零;或非運(yùn)算:見1為零,全零為1;異或運(yùn)算:相異為1,相同為零;同或運(yùn)算:相同為1,相異為零;非運(yùn)算:零變 1, 1變零;要求:熟練應(yīng)用上述邏輯運(yùn)算。5數(shù)字電路邏輯功能的幾種表示方法及相互轉(zhuǎn)換。真值表(組合邏輯電路)或狀態(tài)轉(zhuǎn)換真值表(時(shí)序邏輯電路):是由變量的所有可能取值組合及其對(duì)應(yīng)的函數(shù)值所構(gòu)成的表格。邏輯表達(dá)式:是由邏輯變量和與、或、非3種運(yùn)算符連接起來所構(gòu)成的式子??ㄖZ圖:是由表示變量的所有可能取值組合的小方格所構(gòu)成的圖形。邏輯圖:是由表示邏輯運(yùn)算的邏輯符號(hào)所構(gòu)成的圖形。波形圖或時(shí)序圖:是由輸入變量的所有可能取值組合的高、低電平及其對(duì)應(yīng)的輸出函數(shù)值的高、低電平所構(gòu)成的圖形。狀態(tài)圖(只有時(shí)序電路才有):描述時(shí)序邏輯電路的狀態(tài)轉(zhuǎn)換關(guān)系及轉(zhuǎn)換條件的圖形稱為狀態(tài)圖。要求:掌握這五種(對(duì)組合邏輯電路)或六種(對(duì)時(shí)序邏輯電路)方法之間的相互轉(zhuǎn)換。6邏輯代數(shù)運(yùn)算的基本規(guī)則反演規(guī)則:對(duì)于任何一個(gè)邏輯表達(dá)式Y(jié),如果將表達(dá)式中的所有“·”換成“”,“”換成“·”,“0”換成“1”,“1”換成“0”,原變量換成反變量,反變量換成原變量,那么所得到的表達(dá)式就是函數(shù)Y的反函數(shù)Y(或稱補(bǔ)函數(shù))。這個(gè)規(guī)則稱為反演規(guī)則。對(duì)偶規(guī)則:對(duì)于任何一個(gè)邏輯表達(dá)式Y(jié),如果將表達(dá)式中的所有“·”換成“”,“”換成“·”,“0”換成“1”,“1”換成“0”,而變量保持不變,則可得到的一個(gè)新的函數(shù)表達(dá)式Y(jié),Y稱為函Y的對(duì)偶函數(shù)。這個(gè)規(guī)則稱為對(duì)偶規(guī)則。要求:熟練應(yīng)用反演規(guī)則和對(duì)偶規(guī)則求邏輯函數(shù)的反函數(shù)和對(duì)偶函數(shù)。舉例3:求下列邏輯函數(shù)的反函數(shù)和對(duì)偶函數(shù):解:反函數(shù):對(duì)偶函數(shù):7邏輯函數(shù)化簡(1)最小項(xiàng)的定義及應(yīng)用;(2)二、三、四變量的卡諾圖。要求:熟練掌握邏輯函數(shù)的兩種化簡方法。公式法化簡:邏輯函數(shù)的公式化簡法就是運(yùn)用邏輯代數(shù)的基本公式、定理和規(guī)則來化簡邏輯函數(shù)。舉例4:用公式化簡邏輯函數(shù):解:舉例5:用公式法化簡邏輯函數(shù)為最簡與或式:解:舉例6:用公式法化簡邏輯函數(shù)為最簡與或式:解: =0 圖形化簡:邏輯函數(shù)的圖形化簡法是將邏輯函數(shù)用卡諾圖來表示,利用卡諾圖來化簡邏輯函數(shù)。(主要適合于3個(gè)或4個(gè)變量的化簡)舉例7:用卡諾圖化簡邏輯函數(shù): 解:畫出卡諾圖為則舉例8:已知邏輯函數(shù),約束條件為。用卡諾圖化簡。00最簡邏輯表達(dá)式為第2章 邏輯門電路(1)基本概念1)數(shù)字電路中晶體管作為開關(guān)使用時(shí),是指它的工作狀態(tài)處于飽和狀態(tài)和截止?fàn)顟B(tài)。2)TTL門電路典型高電平為3.6 V,典型低電平為0.3 V。3)OC門和OD門具有線與功能。4)三態(tài)門電路的特點(diǎn)、邏輯功能和應(yīng)用。高阻態(tài)、高電平、低電平。5)門電路參數(shù):噪聲容限VNH或VNL、扇出系數(shù)No、平均傳輸時(shí)間tpd。6)OC門(集電極開路門)的主要應(yīng)用。7)三態(tài)門的主要應(yīng)用。8)門電路多余輸入端的處理。要求:掌握八種邏輯門電路的邏輯功能;掌握OC門和OD門,三態(tài)門電路的邏輯功能;能根據(jù)輸入信號(hào)畫出各種邏輯門電路的輸出波形。舉例9:畫出下列電路的輸出波形。解:由邏輯圖寫出表達(dá)式為:,則輸出Y見上。舉例10:P91,作業(yè)2.7、2.8.第3章 組合邏輯電路1常用組合邏輯部件的作用和特點(diǎn)2會(huì)用組合邏輯部件設(shè)計(jì)邏輯函數(shù)要求:掌握編碼器、譯碼器、數(shù)據(jù)選擇器、數(shù)值比較器、半加器、全加器的定義,功能和特點(diǎn),以及應(yīng)用。舉例11:能對(duì)兩個(gè)1位二進(jìn)制數(shù)進(jìn)行相加而求得和及進(jìn)位的邏輯電路稱為半加器。第4章 觸發(fā)器1)觸發(fā)器的的概念和特點(diǎn):觸發(fā)器是構(gòu)成時(shí)序邏輯電路的基本邏輯單元。其具有如下特點(diǎn):它有兩個(gè)穩(wěn)定的狀態(tài):0狀態(tài)和1狀態(tài);在不同的輸入情況下,它可以被置成0狀態(tài)或1狀態(tài),即兩個(gè)穩(wěn)態(tài)可以相互轉(zhuǎn)換;當(dāng)輸入信號(hào)消失后,所置成的狀態(tài)能夠保持不變。具有記憶功能2)不同邏輯功能的觸發(fā)器的特性方程為:RS觸發(fā)器:,約束條件為:RS0,具有置0、置1、保持功能。JK觸發(fā)器:,具有置0、置1、保持、翻轉(zhuǎn)功能。D觸發(fā)器: ,具有置0、置1功能。T觸發(fā)器: ,具有保持、翻轉(zhuǎn)功能。T觸發(fā)器: (計(jì)數(shù)工作狀態(tài)),具有翻轉(zhuǎn)功能。要求:能根據(jù)觸發(fā)器(重點(diǎn)是JK-FF和D-FF)的特性方程熟練地畫出輸出波形。舉例12:已知J,K-FF電路和其輸入波形,試畫出第5章 時(shí)序邏輯電路1常用時(shí)序邏輯部件的作用和特點(diǎn)時(shí)序邏輯部件:計(jì)數(shù)器、寄存器。2同步時(shí)序邏輯電路的設(shè)計(jì)方法3用中規(guī)模集成電路設(shè)計(jì)時(shí)序邏輯電路要求:掌握編碼器、譯碼器、數(shù)據(jù)選擇器、數(shù)值比較器、半加器、全加器的定義,功能和特點(diǎn),以及應(yīng)用。第6章 半導(dǎo)體存儲(chǔ)器與可編程邏輯器件1.半導(dǎo)體存儲(chǔ)器的分類、基本結(jié)構(gòu)、工作原理;2半導(dǎo)體存儲(chǔ)器的使用方法,半導(dǎo)體存儲(chǔ)器擴(kuò)展存儲(chǔ)容量的方法,可編程邏輯器件PLD、PAL、GAL的分類、基本結(jié)構(gòu)、基本功能和使用方法,可編程邏輯器件的編程方法和在系統(tǒng)可編程技術(shù)。要求:掌握半導(dǎo)體存儲(chǔ)器的分類、基本結(jié)構(gòu)、工作原理,掌握可編程邏輯器件PLD、PAL、GAL的分類、基本結(jié)構(gòu)、基本功能;掌握半導(dǎo)體存儲(chǔ)器和可編程邏輯器件的編程方法。第7章 脈沖波形的產(chǎn)生與整形1)施密特觸發(fā)器是一種能夠把輸入波形整形成為適合于數(shù)字電路需要的矩形脈沖的電路。要求:會(huì)根據(jù)輸入波形畫輸出波形。特點(diǎn):具有滯回特性,有兩個(gè)穩(wěn)態(tài),輸出僅由輸入決定,即在輸入信號(hào)達(dá)到對(duì)應(yīng)門限電壓時(shí)觸發(fā)翻轉(zhuǎn),沒有記憶功能。2)多諧振蕩器是一種不需要輸入信號(hào)控制,就能自動(dòng)產(chǎn)生矩形脈沖的自激振蕩電路。特點(diǎn):沒有穩(wěn)態(tài),只有兩個(gè)暫穩(wěn)態(tài),且兩個(gè)暫穩(wěn)態(tài)能自動(dòng)轉(zhuǎn)換。3)單穩(wěn)態(tài)觸發(fā)器在輸入負(fù)脈沖作用下,產(chǎn)生定時(shí)、延時(shí)脈沖信號(hào),或?qū)斎氩ㄐ握?。特點(diǎn):電路有一個(gè)穩(wěn)態(tài)和一個(gè)暫穩(wěn)態(tài)。在外來觸發(fā)脈沖作用下,電路由穩(wěn)態(tài)翻轉(zhuǎn)到暫穩(wěn)態(tài)。暫穩(wěn)態(tài)是一個(gè)不能長久保持的狀態(tài),經(jīng)過一段時(shí)間后,電路會(huì)自動(dòng)返回到穩(wěn)態(tài)。要求:熟練掌握555定時(shí)器構(gòu)成的上述電路,并會(huì)求有關(guān)參數(shù)(脈寬、周期、頻率)和畫輸出波形。舉例7:已知施密特電路具有逆時(shí)針的滯回特性,試畫出輸出波形。解:第8章 A/D和D/A轉(zhuǎn)換器1)A/D和D/A轉(zhuǎn)換器概念:模數(shù)轉(zhuǎn)換器:能將模擬信號(hào)轉(zhuǎn)換為數(shù)字信號(hào)的電路稱為模數(shù)轉(zhuǎn)換器,簡稱A/D轉(zhuǎn)換器或ADC。由采樣、保持、量化、編碼四部分構(gòu)成。數(shù)模轉(zhuǎn)換器:能將數(shù)字信號(hào)轉(zhuǎn)換為模擬信號(hào)的電路稱為數(shù)模轉(zhuǎn)換器,簡稱D/A轉(zhuǎn)換器或DAC。由基準(zhǔn)電壓、變換網(wǎng)絡(luò)、電子開關(guān)、反向求和構(gòu)成。ADC和DAC是溝通模擬電路和數(shù)字電路的橋梁,也可稱之為兩者之間的接口。2)D/A轉(zhuǎn)換器的分辨率分辨率用輸入二進(jìn)制數(shù)的有效位數(shù)表示。在分辨率為n位的D/A轉(zhuǎn)換器中,輸出電壓能區(qū)分2n個(gè)不同的輸入二進(jìn)制代碼狀態(tài),能給出2n個(gè)不同等級(jí)的輸出模擬電壓。分辨率也可以用D/A轉(zhuǎn)換器的最小輸出電壓與最大輸出電壓的比值來表示。舉例8:10位D/A轉(zhuǎn)換器的分辨率為:3)A/D轉(zhuǎn)換器的分辨率A/D轉(zhuǎn)換器的分辨率用輸出二進(jìn)制數(shù)的位數(shù)表示,位數(shù)越多,誤差越小,轉(zhuǎn)換精度越高。舉例9:輸入模擬電壓的變化范圍為05V,輸出8位二進(jìn)制數(shù)可以分辨的最小模擬電壓為5V×2820mV;而輸出12位二進(jìn)制數(shù)可以分辨的最小模擬電壓為5V×2121.22mV。典型題型總結(jié)及要求(一)分析題型1組合邏輯電路分析:分析思路:由邏輯圖寫出輸出邏輯表達(dá)式; 將邏輯表達(dá)式化簡為最簡與或表達(dá)式;由最簡與或表達(dá)式列出真值表;分析真值表,說明電路邏輯功能。要求:熟練掌握由門電路和組合邏輯器件74LS138、74LS153、74LS151構(gòu)成的各種組合邏輯電路的分析。舉例11:分析如圖邏輯電路的邏輯功能。解:由邏輯圖寫出輸出邏輯表達(dá)式將邏輯表達(dá)式化簡為最簡與或表達(dá)式由最簡與或表達(dá)式列出真值表分析真值表,說明電路邏輯功能當(dāng)輸入A、B、C中有2個(gè)或3個(gè)為1時(shí),輸出Y為1,否則輸出Y為0。所以這個(gè)電路實(shí)際上是一種3人表決用的組合邏輯電路:只要有2票或3票同意,表決就通過。2時(shí)序邏輯電路分析:分析思路: 由電路圖寫出時(shí)鐘方程、驅(qū)動(dòng)方程和輸出方程; 將驅(qū)動(dòng)方程代入觸發(fā)器的特征方程,確定電路狀態(tài)方程;分析計(jì)算狀態(tài)方程,列出電路狀態(tài)表;由電路狀態(tài)表畫出狀態(tài)圖或時(shí)序圖;分析狀態(tài)圖或時(shí)序圖,說明電路邏輯功能。要求:熟練掌握同步時(shí)序電路,比如同步加法計(jì)數(shù)器、減法計(jì)數(shù)器、環(huán)形計(jì)數(shù)器、扭環(huán)形計(jì)數(shù)器的分析。舉例12:如圖所示時(shí)序邏輯電路,試分析它的邏輯功能,驗(yàn)證是否能自啟動(dòng),并畫出狀態(tài)轉(zhuǎn)換圖和時(shí)序圖。解:時(shí)鐘方程為:CP0=CP1=CP激勵(lì)方程為: 將激勵(lì)方程代入J-K-FF的特性方程可得狀態(tài)方程為由狀態(tài)方程做出狀態(tài)轉(zhuǎn)換表為:0 0010 1101 0001 100則狀態(tài)轉(zhuǎn)換圖和時(shí)序圖為: 可見電路具有自啟動(dòng)特性,這是一個(gè)三進(jìn)制計(jì)數(shù)器。 (二)設(shè)計(jì)題型1組合邏輯電路設(shè)計(jì):設(shè)計(jì)思路: 由電路功能描述列出真值表; 由真值表寫出邏輯表達(dá)式或卡若圖;將表達(dá)式化簡為最簡與或表達(dá)式;實(shí)現(xiàn)邏輯變換,畫出邏輯電路圖。要求:熟練掌握用常用門電路和組合邏輯器件74LS138、74LS153、74LS151設(shè)計(jì)實(shí)現(xiàn)各種組合邏輯電路。舉例13:某汽車駕駛員培訓(xùn)班進(jìn)行結(jié)業(yè)考試,有三名評(píng)判員,其中A為主評(píng)判員,B和C為副評(píng)判員,在評(píng)判時(shí)按照服從多數(shù)原則通過,但主評(píng)判員認(rèn)為合格也通過,試用與非門實(shí)現(xiàn)該邏輯電路。(或用74138、74151、74153實(shí)現(xiàn))解:由題意可作出真值表為:用卡諾圖化簡為A B CY0 0 000 0 100 1 000 1 111 0 011 0 111 1 011 1 11則輸出邏輯表達(dá)式為 用與非門實(shí)現(xiàn)邏輯電路圖為:2時(shí)序邏輯電路設(shè)計(jì):設(shè)計(jì)思路:由設(shè)計(jì)要求畫出原始狀態(tài)圖或時(shí)序圖;簡化狀態(tài)圖,并分配狀態(tài);選擇觸發(fā)器類型,求時(shí)鐘方程、輸出方程、驅(qū)動(dòng)方程;畫出邏輯電路圖;檢查電路能否自啟動(dòng)。要求:熟練掌握同步時(shí)序電路,比如同步加法計(jì)數(shù)器、減法計(jì)數(shù)器的設(shè)計(jì)實(shí)現(xiàn)。舉例14:設(shè)計(jì)一個(gè)按自然態(tài)序變化的7進(jìn)制同步加法計(jì)數(shù)器,計(jì)數(shù)規(guī)則為逢七進(jìn)1,產(chǎn)生一個(gè)進(jìn)位輸出。解:建立原始狀態(tài)圖:簡化狀態(tài)圖,并分配狀態(tài):已經(jīng)是最簡,已是二進(jìn)制狀態(tài);選擇觸發(fā)器類型,求時(shí)鐘方程、輸出方程、驅(qū)動(dòng)方程:因需用3位二進(jìn)制代碼,選用3個(gè)CP下降沿觸發(fā)的JK觸發(fā)器,分別用FF0、FF1、FF2表示。由于要求采用同步方案,故時(shí)鐘方程為:輸出方程:狀態(tài)方程:畫出電路圖檢查電路能否自啟動(dòng):將無效狀態(tài)111代入狀態(tài)方程計(jì)算:可見111的次態(tài)為有效狀態(tài)000,電路能夠自啟動(dòng)。3集成計(jì)數(shù)器和寄存器的應(yīng)用:構(gòu)成N進(jìn)制計(jì)數(shù)器,構(gòu)成環(huán)形計(jì)數(shù)器和扭環(huán)形計(jì)數(shù)器。要求:熟練掌握74LS160、74LS161、74LS162、74LS163四種集成計(jì)數(shù)器應(yīng)用,比如分析或設(shè)計(jì)N進(jìn)制計(jì)數(shù)器;熟練掌握74LS194應(yīng)用,比如分析或設(shè)計(jì)環(huán)形計(jì)數(shù)器和扭環(huán)形計(jì)數(shù)器。1.用同步清零端或置數(shù)端歸零構(gòu)成N進(jìn)置計(jì)數(shù)器(1)寫出狀態(tài)SN-1的二進(jìn)制代碼。(2)求歸零邏輯,即求同步清零端或置數(shù)控制端信號(hào)的邏輯表達(dá)式。(3)畫連線圖。2.用異步清零端或置數(shù)端歸零構(gòu)成N進(jìn)置計(jì)數(shù)器(1)寫出狀態(tài)SN的二進(jìn)制代碼。(2)求歸零邏輯,即求異步清零端或置數(shù)控制端信號(hào)的邏輯表達(dá)式。(3)畫連線圖。舉例15:用74LS161來構(gòu)成一個(gè)十二進(jìn)制計(jì)數(shù)器。解:(1)用異步清零端歸零:SNS121100則電路為:注:這里D0D3可隨意處理。(2)用同步置數(shù)端歸零:SNS111011則電路為:注:這里D0D3必須都接0。舉例16:用74LS160來構(gòu)成一個(gè)48進(jìn)制同步加法計(jì)數(shù)器。解:因74LS160為同步十進(jìn)制計(jì)數(shù)器,要構(gòu)成48進(jìn)制同步加法計(jì)數(shù)器須用二片74LS160來實(shí)現(xiàn),現(xiàn)采用異步清零實(shí)現(xiàn): S48=01001000,取高位片的QC和低位片的QD作歸零反饋信號(hào)。即清零端歸零信號(hào)為:,則電路連線圖為:(三)計(jì)算和畫圖題型:要求:會(huì)分析電路工作原理,說明電路功能;會(huì)根據(jù)題意計(jì)算電路參數(shù),或正確畫出電路波形。舉例17:如圖電路,完成下列問題:1)說明這是什么電路?2)求電路的輸出信號(hào)頻率f3)畫出VC及VO的波形。解:1) 這是一個(gè)由555定時(shí)器構(gòu)成的多諧振蕩器。2) 其振蕩周期為則其頻率為 3)VC及VO的波形的波形為:三、基本概念練習(xí)一、判斷題1CMOS門電路為雙極型電路,而TTL門電路則為單極型電路。( )2.能夠?qū)崿F(xiàn)“線與”功能的門電路是OC門或OD門。( )3施密特觸發(fā)器的特點(diǎn)是只有一個(gè)穩(wěn)態(tài),需在外加信號(hào)作用下才能由穩(wěn)態(tài)翻轉(zhuǎn)到暫穩(wěn)態(tài)。( )4在時(shí)鐘脈沖的控制下,根據(jù)輸入信號(hào)T不同情況,凡是具有保持和翻轉(zhuǎn)功能的電路,稱為T觸發(fā)器。( )5.某電路任意時(shí)刻的輸出不僅取決于當(dāng)時(shí)的輸入信號(hào),而且與電路的原狀態(tài)有關(guān),該電路為時(shí)序邏輯電路。( )6.若集成555定時(shí)器的第4腳接低電平時(shí),不管輸入信號(hào)為任意值,定時(shí)器始終輸出高電平。( )二、填空題:1(44375)10= 2 = 8 = 16 = 8421BCD。2Y=AB(C+D),它的反函數(shù)= ;對(duì)偶函數(shù)= 。3或非邏輯運(yùn)算特點(diǎn)是 ,異或邏輯運(yùn)算特點(diǎn)為 。4.n-2 n線譯碼器的輸入代碼為 個(gè),輸出代碼為 個(gè)。5.就單穩(wěn)態(tài)觸發(fā)器和施密特觸發(fā)器而言,若要實(shí)現(xiàn)延時(shí)、定時(shí)的功能,應(yīng)選用 ;若要實(shí)現(xiàn)波形變換、整形的功能,應(yīng)選用 。6.一位二進(jìn)制計(jì)數(shù)器可實(shí)現(xiàn) 分頻;n位二進(jìn)制計(jì)數(shù)器,最后一個(gè)觸發(fā)器輸出的脈沖頻率是輸入頻率的 倍。三、選擇題1.八位二進(jìn)制數(shù)所能表示的最大十進(jìn)制數(shù)為( )。(a) 255 (b) 88 (c) 99 (d) 128 2.下圖中能實(shí)現(xiàn)邏輯運(yùn)算的電路是( )。3.8421BCD十進(jìn)制譯碼器,數(shù)字輸入信號(hào)端和數(shù)字輸出信號(hào)端分別有( )個(gè)。(a)4和16 (b) 3和8 (c) 3和10 (d) 4和10 4四個(gè)觸發(fā)器構(gòu)成十進(jìn)制加法計(jì)數(shù)器,若觸發(fā)器輸出從低位至高位分別為Q0、Q1、Q2、Q3,則輸出進(jìn)位信號(hào)C為( )(a) Q3Q1 (b) Q3Q2Q1Q0 (c) Q2Q1Q0 (d) Q3Q05能將輸入三角波信號(hào)轉(zhuǎn)換成矩形脈沖信號(hào)輸出的電路是( )。 (a) 多諧振蕩器 (b) AD轉(zhuǎn)換器(c) 單穩(wěn)態(tài)觸發(fā)器 (d) 施密特觸發(fā)器 6.若A/D轉(zhuǎn)換器輸入模擬電壓的變化范圍為05V,則輸出10位二進(jìn)制數(shù)可以分辨的最小模擬電壓為( ) (a)1.5mV (b)2.4mV (c)4.9mV (d)6.5mV

注意事項(xiàng)

本文(《數(shù)字電子技術(shù)》知識(shí)點(diǎn).doc)為本站會(huì)員(最***)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!