歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

《微機(jī)原理與接口技術(shù)》習(xí)題.doc

  • 資源ID:1618177       資源大?。?span id="2y72yja" class="font-tahoma">39.42KB        全文頁數(shù):23頁
  • 資源格式: DOC        下載積分:32積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要32積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說明有答案則都視為沒有答案,請(qǐng)知曉。

《微機(jī)原理與接口技術(shù)》習(xí)題.doc

微機(jī)原理與接口技術(shù)習(xí)題一、單項(xiàng)選擇題1、80486CPU進(jìn)行算術(shù)和邏輯運(yùn)算時(shí),可處理的信息的長(zhǎng)度為( D )。A、32位 B、16位 C、8位 D、都可以 2、在下面關(guān)于微處理器的敘述中,錯(cuò)誤的是( C ) 。A、微處理器是用超大規(guī)模集成電路制成的具有運(yùn)算和控制功能的芯片 B、一臺(tái)計(jì)算機(jī)的CPU含有1個(gè)或多個(gè)微處理器 C、寄存器由具有特殊用途的部分內(nèi)存單元組成,是內(nèi)存的一部分 D、不同型號(hào)的CPU可能具有不同的機(jī)器指令 3、若用MB作為PC機(jī)主存容量的計(jì)量單位,1MB等于( B )字節(jié)。A、210個(gè)字節(jié) B、220個(gè)字節(jié) C、230個(gè)字節(jié) D、240個(gè)字節(jié) 4、運(yùn)算器在執(zhí)行兩個(gè)用補(bǔ)碼表示的整數(shù)加法時(shí),判斷其是否溢出的規(guī)則為( D )。A、兩個(gè)整數(shù)相加,若最高位(符號(hào)位)有進(jìn)位,則一定發(fā)生溢出B、兩個(gè)整數(shù)相加,若結(jié)果的符號(hào)位為0,則一定發(fā)生溢出 C、兩個(gè)整數(shù)相加,若結(jié)果的符號(hào)位為1,則一定發(fā)生溢出 D、兩個(gè)同號(hào)的整數(shù)相加,若結(jié)果的符號(hào)位與加數(shù)的符號(hào)位相反,則一定發(fā)生溢出 5、運(yùn)算器的主要功能是( C )。 A、算術(shù)運(yùn)算 B、邏輯運(yùn)算 C、算術(shù)運(yùn)算與邏輯運(yùn)算 D、函數(shù)運(yùn)算6、指令A(yù)DD CX,55HBP的源操作數(shù)的尋址方式是(D )。A、寄存器尋址 B、直接尋址 C、寄存器間接尋址 D、寄存器相對(duì)尋址7、設(shè)(SS)=3300H,(SP)=1140H,在堆棧中壓入5個(gè)字?jǐn)?shù)據(jù)后,又彈出兩個(gè)字?jǐn)?shù)據(jù),則(SP)=(A ) A、113AH B、114AH C、1144H D、1140H8、若SI=0053H,BP=0054H,執(zhí)行SUB SI,BP后,則( C)。A、CF=0,OF=0 B、CF=0,OF=1 C、CF=1,OF=0 D、CF=1,OF=19、已知(BP)=0100H,(DS)=7000H,(SS)=8000H,(80100H)=24H,(80101H)=5AH,(70100H)=01H,(70101H)=02H,指令MOV BX,BP執(zhí)行后,(BX)=(D ) 。A、0102H B、0201H C、245AH D、5A24H10、實(shí)模式下80486CPU對(duì)指令的尋址由(A )決定。 A、CS,IP B、DS,IP C、SS,IP D、ES,IP11、使用80486匯編語言的偽操作指令定義: VAL DB 2 DUP(1,2,3 DUP(3),2 DUP(1,0) 則在VAL存儲(chǔ)區(qū)內(nèi)前十個(gè)字節(jié)單元的數(shù)據(jù)是( D)。A、1,2,3,3,2,1,0,1,2,3 B、1,2,3,3,3,3,2,1,0,1 C、2,1,2,3,3,2,1,0 D、1,2,3,3,3,1,0,1,0,112、下列四條指令都可用來使累加器清"0",但其中不能清"進(jìn)位"位的是(C ) 。A、XOR AL,AL B、AND AL,0 C、MOV AL,0 D、SUB AL,AL13、若(AX)=96H,(BX)=65H,依次執(zhí)行ADD AX,BX指令和DAA指令后,(AL)=(C )。 A、0FBH B、01H C、61H D、0BH14、下列能使CF標(biāo)志置1的指令是(C ) 。A、CMC B、CLC C、STC D、CLD15、MOV AX,BP+SI隱含使用的段寄存器是(D )。A、CS B、DS C、ES D、SS16、計(jì)算機(jī)工作中只讀不寫的存儲(chǔ)器是( B )。 A、DRAM B、ROM C、SRAM D、EEPROM17、下面關(guān)于主存儲(chǔ)器(也稱為內(nèi)存)的敘述中,不正確的是( B )。A、當(dāng)前正在執(zhí)行的指令與數(shù)據(jù)都必須存放在主存儲(chǔ)器內(nèi),否則處理器不能進(jìn)行處理B、存儲(chǔ)器的讀、寫操作,一次僅讀出或?qū)懭胍粋€(gè)字節(jié)C、字節(jié)是主存儲(chǔ)器中信息的基本編址單位D、從程序設(shè)計(jì)的角度來看,cache(高速緩存)也是主存儲(chǔ)器 18、CPU對(duì)存儲(chǔ)器或I/O端口完成一次讀/寫操作所需的時(shí)間稱為一個(gè)( B )周期。A、指令 B、總線 C、時(shí)鐘 D、讀寫 19、存取周期是指( D )。A、存儲(chǔ)器的寫入時(shí)間 B、存儲(chǔ)器的讀出時(shí)間 C、存儲(chǔ)器進(jìn)行連續(xù)寫操作允許的最短時(shí)間間隔 D、存儲(chǔ)器進(jìn)行連續(xù)讀/寫操作允許的最短時(shí)間3間隔20、下面的說法中,( C )是正確的。A、EPROM是不能改寫的 B、EPROM是可改寫的,所以也是一種讀寫存儲(chǔ)器C、EPROM是可改寫的,但它不能作為讀寫存儲(chǔ)器 D、EPROM只能改寫一次21、主存和CPU之間增加高速緩存的目的是( A )。A、解決CPU和主存間的速度匹配問題 B、擴(kuò)大主存容量C、既擴(kuò)大主存容量,又提高存取速度 D、增強(qiáng)CPU的運(yùn)算能力22、采用虛擬存儲(chǔ)器的目的是( C )。A、提高主存速度 B、擴(kuò)大外存的容量 C、擴(kuò)大內(nèi)存的尋址空間 D、提高外存的速度23、某數(shù)據(jù)段位于以70000起始的存儲(chǔ)區(qū),若該段的長(zhǎng)度為64KB,其末地址是( C )。A、70FFFH B、80000H C、7FFFFH D、8FFFFH24、微機(jī)系統(tǒng)中的存儲(chǔ)器可分為四級(jí),其中存儲(chǔ)容量最大的是( D )。A、內(nèi)存 B、內(nèi)部寄存器 C、高速緩沖存儲(chǔ)器 D、外存25、下面的說法中,( B )是正確的。 A、指令周期等于機(jī)器周期 B、指令周期大于機(jī)器周期 C、指令周期小于機(jī)器周期 D、指令周期是機(jī)器周期的兩倍26、按與存儲(chǔ)器的關(guān)系,I/O端口的編址方式分為( C )。A、線性和非線性編址 B、集中與分散編址 C、統(tǒng)一和獨(dú)立編址 D、重疊與非重疊編址27、在中斷傳送方式下,主機(jī)與外部設(shè)備間的數(shù)據(jù)傳送通路是( A )。A、數(shù)據(jù)總線DB B、專用數(shù)據(jù)通路 C、地址總線AB D、控制總線CB28、狀態(tài)信息是通過( A )總線進(jìn)行傳送的。A、數(shù)據(jù) B、地址 C、控制 D、外部29、下列總線中,屬于局部總線的是( D )。A、ISA B、EISA C、MCA D、PCI30、利用程序查詢方式傳送數(shù)據(jù)時(shí),CPU必須讀( A )以判斷是否傳送數(shù)據(jù)。A、外設(shè)的狀態(tài) B、DMA的請(qǐng)求信號(hào) C、數(shù)據(jù)輸入信息 D、外設(shè)中斷請(qǐng)求31、CPU與外設(shè)間數(shù)據(jù)傳送的控制方式有( D )。A、中斷方式 B、DMA方式C、程序控制方式 D、以上三種都是32、CPU與IO設(shè)備間傳送的信號(hào)有( D )。A、數(shù)據(jù)信息 B、控制信息C、狀態(tài)信息 D、以上三種都是33、在中斷方式下,外設(shè)數(shù)據(jù)輸入到內(nèi)存的路徑是( D )。A、外設(shè)數(shù)據(jù)總線內(nèi)存 B、外設(shè)數(shù)據(jù)總線CPU內(nèi)存C、外設(shè)CPUDMAC內(nèi)存 D、外設(shè)IO接口CPU內(nèi)存34、CPU響應(yīng)中斷請(qǐng)求和響應(yīng)DMA請(qǐng)求的本質(zhì)區(qū)別是( D )。A、中斷響應(yīng)靠軟件實(shí)現(xiàn) (B)速度慢 (C)控制簡(jiǎn)單D、響應(yīng)中斷時(shí),CPU仍然仍控制總線,而響應(yīng)DMA請(qǐng)求時(shí),CPU要讓出總線35、將微處理器、內(nèi)存儲(chǔ)器及I/O接口連接起來的總線是( C )。A、片總線 B、外總線 C、系統(tǒng)總線 D、局部總線36、在下列指令中,能使PC機(jī)CPU對(duì)I/O端口進(jìn)行讀寫訪問的是( C )。A、中斷指令 B、串操作指令 C、輸入輸出指令 D、傳送指令37、下列幾種芯片是PC機(jī)的常用芯片,它們之中可接管總線控制數(shù)據(jù)傳送的是( D )。A、定時(shí)器/計(jì)數(shù)器芯片 B、串行接口芯片 C、并行接口芯片 D、DMA控制器芯片38、下列幾種芯片是PC機(jī)的常用I/O接口芯片,它們之中可接管總線控制數(shù)據(jù)傳送的是( B )A、8253A B、8237A C、8259A D、8255A39、在下列指令中,能使PC機(jī)CPU對(duì)I/O端口進(jìn)行讀寫訪問的是( C )。A、中斷指令 B、串操作指令 C、輸入/輸出指令 D、傳送指令40、將微處理器、內(nèi)存儲(chǔ)及I/O接口連接起來的總線是( C )。A、片總線 B、外總線 C、系統(tǒng)總線 D、內(nèi)部總線41、支持無條件傳送方式的接口電路中,至少應(yīng)包含( D )。 A、數(shù)據(jù)端口,控制端口 B、狀態(tài)端口 C、控制端口 D、數(shù)據(jù)端口 42、CPU與慢速的外設(shè)進(jìn)行數(shù)據(jù)傳送時(shí),采用( B )方式可提高CPU的效率。 A、查詢 B、中斷 C、DMA D、無條件傳送43、當(dāng)采用( A )輸入操作情況時(shí),除非計(jì)算機(jī)等待,否則無法傳送數(shù)據(jù)給計(jì)算機(jī)。 A、程序查詢方式 B、中斷方式 C、DMA方式 D、IOP處理機(jī)方式44、微機(jī)中地址總線的作用是( C )。A、用于選擇存儲(chǔ)單元 B、用于選擇進(jìn)行信息傳輸?shù)脑O(shè)備 C、用于指定存儲(chǔ)單元和I/O設(shè)備接口電路的選擇地址 D、用于確定操作對(duì)象45、計(jì)算機(jī)使用總線結(jié)構(gòu)便于增減外設(shè),同時(shí)( C )。A、減少了信息的傳輸量 B提高了信息的傳輸量 C、減少了信息傳輸線的條數(shù) D、增加了信息傳輸線的條數(shù)46、若AL=3BH,AH=7DH,則AL和AH中的內(nèi)容相加后,標(biāo)志CF、SF和OF的狀態(tài)分別是( A )A、0、1、1 B、1、1、1 C、0、0、0 D、1、1、047、若AL=3BH,AH=7DH,則AL和AH中的內(nèi)容相減后,標(biāo)志CF、AF和PF的狀態(tài)分別是( B )A、0、0、1 B、1、1、1 C、0、1、0 D、1、0、048、下列有關(guān)指令指針寄存器的說法中,哪一個(gè)是正確的( B )。A、IP存放當(dāng)前正在執(zhí)行的指令在代碼段中的偏移地址B、IP存放下一條將要執(zhí)行的指令在代碼段中的偏移地址C、IP存放當(dāng)前正在執(zhí)行的指令在存儲(chǔ)器中的物理地址D、IP存放當(dāng)前正在執(zhí)行的指令在存儲(chǔ)器中的段地址49、最小模式時(shí),當(dāng)M/IO(-)為低電平時(shí),表示CPU正在對(duì)( B )進(jìn)行訪問。A、存儲(chǔ)器 B、I/O端口 C、外部存儲(chǔ)器 D、EPROM50、下面有關(guān)MN/MX(-)的敘述正確的是( C )A、是工作模式選擇信號(hào),由CPU產(chǎn)生,為高電平時(shí)CPU工作在最小模式,為低電平時(shí),CPU工作在最大模式B、是工作模式選擇信號(hào),由CPU產(chǎn)生,為低電平時(shí)CPU工作在最小模式,為高電平時(shí),CPU工作在最大模式C、是工作模式選擇信號(hào),由外部輸入,為低電平時(shí)CPU工作在最小模式,為高電平時(shí),CPU工作在最大模式D、是工作模式選擇信號(hào),由外部輸入,為高電平時(shí)CPU工作在最小模式,為低電平時(shí),CPU工作在最大模式51、某CPU的主頻為250MHZ,則它的時(shí)鐘周期為( D )A、250ns B、50ns C、40ns D、4ns52、如果訪問存儲(chǔ)器時(shí)使用BP尋址,則默認(rèn)的段寄存器是( D )A、CS B、ES C、DS D、SS53、某單元在數(shù)據(jù)段中,已知DS=1000H,偏移地址為1200H,則它的物理地址為( B )A、10000H B、11200H C、12100H D、13000H54、某系統(tǒng)中,已知SS=2360H,SP=0800H,若將20H個(gè)字節(jié)的數(shù)據(jù)入棧,則SP的內(nèi)容為( D )A、0780H B、0820H C、23E20H D、07E0H55、某系統(tǒng)中,已知建立堆棧時(shí)SS=2360H,SP=0800H,經(jīng)過一段時(shí)間后,SP的內(nèi)容變?yōu)?700H,則堆棧中有多少個(gè)字的數(shù)據(jù)( A )A、80H B、50H C、100 D、100H56、在下列偽指令中定義字變量的是( B)。A、DD B、DW C、DQ D、DT57、下列指令中,能使AL的內(nèi)容固定為偶數(shù)的是( C)。A、ADD AL,01 H B、OR AL,0FEH C、AND AL,0FEH D、XOR AL,0FEH58、改變( C)寄存器的值,可改變堆棧中棧頂元素的位置。A、BP B、IP C、SP D、BX59、加減類運(yùn)算指令對(duì)標(biāo)志位的狀態(tài)( A)。A、有影響 B、部分影響 C、無影響 D、任意60、當(dāng)AH=( C)時(shí),執(zhí)行INT 21H指令可在屏幕上顯示一組字符。A、01H B、02H C、09H D、0AH61、已知VAR DW 1,2,$+2,5,6 若匯編時(shí)VAR分配的偏移地址是2010和,則匯編后2014H單元的內(nèi)容是( D)。A、6H B、14H C、5H D、16H62、若某數(shù)據(jù)段位于存儲(chǔ)區(qū)68000H7FFFFH,則該數(shù)據(jù)段的段基址是( D)。A、68000H B、7FFFFH C、6000H D、6800H63、SP的作用是用來指示( A)。A、棧頂元素的有效地址 B、下一條要執(zhí)行指令的地址C、下一條要取的指令的地址 D、棧底元素的有效地址64、在數(shù)據(jù)傳送指令執(zhí)行過程中,不能直接與立即數(shù)進(jìn)行傳送的是(B)。A、通用寄存器 B、段寄存器 C、存儲(chǔ)器 D、通用寄存器和存儲(chǔ)器65、轉(zhuǎn)移類指令對(duì)標(biāo)志位的狀態(tài)( C)。A、有影響 B、部分影響 C、無影響 D、隨意66、欲從存儲(chǔ)單元取某操作數(shù),可采用( D)。A、寄存器尋址、寄存器間接尋址 B、立即尋址、直接尋址C、立即尋址、寄存器間接尋址 D、寄存器間接尋址、直接尋址67、在指令MOV AX,0 執(zhí)行后,CPU狀態(tài)標(biāo)志位ZF的取值( D)。A、為0 B、為1 C、不確定 D、不改變68、已知AL=10010111H,BL=00010101H,執(zhí)行ADD AL,BL指令后,OF、SF、CF、ZF標(biāo)志的狀態(tài)為( B)。A)、1、0、1、1 B、1、1、0、0 C、0、0、1、1 D、0、1、0、169、下列指令中,錯(cuò)誤的是( C)。A、MOV BX,OFFSET BUF B、LEA SI,BUFC、LEA DI,OFFSET BUF D、MOV BP,SEG BUF70、輸入/輸出指令對(duì)標(biāo)志位的狀態(tài)( C)。A、有影響 B、部分影響 C、無影響 D、任意71、用3片8259A級(jí)聯(lián),最多可管理的中斷數(shù)是( B )。A、24級(jí) B、22級(jí) C、23級(jí) D、21級(jí)72、CPU響應(yīng)INTR和NMI中斷時(shí),相同的必要條件是( A )。A、當(dāng)前指令執(zhí)行結(jié)束 B、允許中斷C、當(dāng)前訪問內(nèi)存結(jié)束 D、總線空閑73、通常,中斷服務(wù)程序中的一條STI指令目的是( B )。A、允許低一級(jí)中斷產(chǎn)生 B、開放所有可屏蔽中斷C、允許同級(jí)中斷產(chǎn)生 D允許高一級(jí)中斷產(chǎn)生74、特殊屏蔽方式要解決的主要問題是( C )。A、屏蔽所有中斷 B、設(shè)置最低優(yōu)先級(jí) C、開放低級(jí)中斷 D、響應(yīng)同級(jí)中斷75、對(duì)可編程接口芯片進(jìn)行讀/寫操作的必要條件是( D ).A、RD=0 B、WR=0 C、RD=0或WR=0 D、CS=076、用兩只中斷控制器8259A級(jí)聯(lián)后,CPU的可屏蔽硬中斷可擴(kuò)大到( D )。A、64級(jí) B、32級(jí) C、16級(jí) D、15級(jí)77、在PC機(jī)中,啟動(dòng)硬中斷服務(wù)程序執(zhí)行的是( B )。A、主程序中安排的中斷指令 B、中斷控制器發(fā)出的中斷請(qǐng)求信號(hào)C、主程序中安排的轉(zhuǎn)移指令 D、主程序中安排的調(diào)用指令78、如果有多個(gè)中斷請(qǐng)求同時(shí)發(fā)生,系統(tǒng)將根據(jù)它們的優(yōu)先級(jí)高低,響應(yīng)優(yōu)先級(jí)最高的中斷請(qǐng)求,若要調(diào)整響應(yīng)順序,則應(yīng)使用( C )。A、中斷嵌套 B、中斷響應(yīng) C、中斷屏蔽 D、中斷向量79、當(dāng)系統(tǒng)發(fā)生某個(gè)事件時(shí),CPU暫?,F(xiàn)行程序的執(zhí)行轉(zhuǎn)去執(zhí)行相應(yīng)程序的過程,稱為( B )。A、中斷請(qǐng)求 B、中斷響應(yīng) C、中斷嵌套 D、中斷屏蔽80、8086/8088CPU采用( B )方式,保證在有多個(gè)中斷源的中斷系統(tǒng)中,確定一個(gè)中斷源并轉(zhuǎn)入相應(yīng)的中斷服務(wù)程序。A、中斷向量 B、向量中斷 C、優(yōu)先排隊(duì) D、并行工作81、運(yùn)算器由很多部件組成,其核心部分是( B )。A、數(shù)據(jù)總線 B、算術(shù)邏輯單元 C、累加器 D、多路開關(guān)82、在一般的微處理器中,( D )包含在CPU中。A、內(nèi)存 B、輸入/輸出單元 C、磁盤驅(qū)動(dòng)器 D、算術(shù)邏輯單元83、80486CPU的標(biāo)志寄存器中,OF標(biāo)志表示運(yùn)算結(jié)果的( C )情況。A、進(jìn)/借位 B、符號(hào) C、溢出 D、輔助進(jìn)位84、若某數(shù)據(jù)段位于存儲(chǔ)區(qū)38000H47FFFH,則該數(shù)據(jù)段的段基址為( D )。A、38000H B、47FFFH C、3000H D、3800H85、程序設(shè)計(jì)中所使用的地址是( A )。A、邏輯地址 B、有效地址 C、物理地址 D、段基址86、80X86執(zhí)行程序時(shí),對(duì)存儲(chǔ)器進(jìn)行訪問時(shí),物理地址可由( B )組合產(chǎn)生。A、SS和IP B、CS和IP C、DS和IP D、CS和BP87、某處理器與內(nèi)存進(jìn)行數(shù)據(jù)交換的外部數(shù)據(jù)總線為32位,它屬于( C)。A、8位處理器 B、16位處理器 C、32位處理器 D、64位處理器88、在堆棧操作中,隱含使用的通用寄存器是( D )。A、AX B、BX C、SI D、SP89、主要決定微機(jī)性能的是( A )A、CPU B、耗電量 C、質(zhì)量 D、價(jià)格90、十進(jìn)制負(fù)數(shù) 38的八位二進(jìn)制補(bǔ)碼是( B )A、01011011B B、11011010B C、11011011B D、01011010B91、設(shè)AL=7FH,要使AL=80H,應(yīng)使用下列哪一條指令( D )。 A、AND AL,80H B、OR AL,80H C、XOR AL,80H D、NOT AL92、在執(zhí)行十進(jìn)制調(diào)整指令 DAA,DAS之前必須將結(jié)果存放于( C )中。 A、AX B、AH C、AL D、BL93、下列指令執(zhí)行后影響標(biāo)志位的是( C ) 。 A、MOV B、PUSH C、ADD D、XCHG94、唯一能對(duì)應(yīng)存儲(chǔ)單元的地址是( A )。A、物理地址 B、端口地址 C、有效地址 D、邏輯地址95、計(jì)算機(jī)能直接執(zhí)行的語言是( A )。A、機(jī)器語言 B、匯編語言 C、高級(jí)語言 D、程序設(shè)計(jì)語言96、需采用先進(jìn)后出原則操作的存儲(chǔ)區(qū)是( D )。A、寄存器組 B、地址緩沖器 C、數(shù)據(jù)寄存器 D、堆棧區(qū)97、寄存器SP用于對(duì)( B )的操作。A、空閑單元 B、堆棧單元 C、數(shù)據(jù)單元 D、指令單元98、若(BX)=1000H,(DS)=2000H,(21000H)=12H,(21001H)=34H,執(zhí)行LEA SI,BX指令后,SI寄存器的內(nèi)容是( C )。A、1234H B、3412H C、1000H D、0010H 99、若(AL)=80H,執(zhí)行 NEG AL指令后,CF和OF標(biāo)志位的狀態(tài)分別為( C )。A、0和0 B、0和1 C、1和0 D、1和1 100、若要完成(AX)*7/2運(yùn)算,則在下列四條指令之后添加( C )指令。 MOV BX,AX ;MOV CL,3 ;SAL AX,CL ;SUB AX,BX( ) 。A、ROR AX,1 B、SAL AX,1 C、SAR AX,1 D、DIV AX,2101、計(jì)算機(jī)的主內(nèi)存有3K字節(jié),則內(nèi)存地址寄存器需( C )位就足夠。A、10 B、11 C、12 D、13102、若256KB的SRAM具有8條數(shù)據(jù)線,那么它具有( B )地址線。A、10 B、18 C、20 D、32103、可以直接存取1M字節(jié)內(nèi)存的微處理器,其地址線需( C )條。 A、8 B、16 C、20 D、24104、規(guī)格為4096×8的存儲(chǔ)芯片4片,組成的存儲(chǔ)體容量為( C )。A、4KB B、8KB C、16KB D、32KB105、一個(gè)有16字的數(shù)據(jù)區(qū),其起始地址為70A0:DDF6H,則該數(shù)據(jù)區(qū)末字單元的物理地址為( B )。A、14E96H B、7E814H C、7E7F6H D、7E816H106、某微型計(jì)算機(jī)可直接尋址64M字節(jié)的內(nèi)存空間,其CPU的地址總線至少應(yīng)有( D )條。A、20 B、30 C、16 D、26107、對(duì)于地址總線為32位的微處理器來說,其直接尋址范圍可達(dá)( D )。A、64MB B、256MB C、512MB D、4GB108、通常高速緩存是由快速( A )組成。A、SRAM B、DRAM C、EEPROM D、Flash109、CPU在執(zhí)行指令的過程中,每完成一次對(duì)存儲(chǔ)器或I/O端口的訪問過程,稱為( B )。A、時(shí)鐘周期 B、總線周期 C、總線讀周期 D、總線寫周期110、某CPU有32條地址線,與之相連的一個(gè)I/O芯片的口地址為210H21FH,則該I/O芯片的片選信號(hào)至少應(yīng)由( D )條地址線譯碼后產(chǎn)生。A、16 B、10 C、4 D、6111、設(shè)某一個(gè)單元的物理地址是54321H,則正確的邏輯地址表示為( C )A、4321H:50000H B、54320H:1H C、5430H:0021H D、5432H:00001H112、如果一個(gè)程序在執(zhí)行前CS=1000H,IP=2000H,該程序的起始地址是( B ) A、3000H B、12000H C、21000H D、1000H113、如果一個(gè)堆棧從地址1250H:0100H開始,SP=0050,則SS的段地址是( B )A、12600H B、1260H C、1265H D、125BH114、若已知X補(bǔ)=11101011B,Y補(bǔ)=01001010B,則X Y 補(bǔ)=( A )A、10100001B B、11011111B C、10100000B D、溢出115、下列描述正確的是( B )。A、匯編語言僅由指令性語句組成 B、匯編語言包括指令性語句和偽指令語句C、指令性語句和偽指令語句的格式是完全相同的 D、指令性語句和偽指令語句需經(jīng)匯編程序翻譯成機(jī)器代碼后才能執(zhí)行116、下列指令中不屬于邏輯運(yùn)算指令的是( B)。A、XOR B、CWD C、NOT D、OR117、假定DX=10111001B,CL=3,CF=1,則執(zhí)行指令SHL DX,CL后,DX的值為( D)。A、005CH B、0017H C、1700H D、05C8H118、下列指令中不會(huì)改變指令指針寄存器內(nèi)容的是( A)。A、MOV B、JMP C、CALL D、RET119、偽指令ENDP告訴匯編程序( B)。A、宏定義結(jié)束 B、過程定義結(jié)束C、段定義結(jié)束 D、過程運(yùn)行結(jié)束120、利用DOS系統(tǒng)功能調(diào)用的9號(hào)(AH=9)功能,顯示一個(gè)字符串,其入口參數(shù)應(yīng)為( A)。A、DS:DX=字符串首地址 B、DS:DX=字符串末地址C、CS:DX=字符串首地址 D、CS:DX=字符串末地址121、PC機(jī)中,確定硬中斷的服務(wù)程序入口地址的是( C )。A、主程序中的調(diào)用指令 B、主程序中的轉(zhuǎn)移指令C、中斷控制器發(fā)出的類型碼 D、中斷控制器中的中斷服務(wù)寄存器122、8086/8088 CPU的引腳中,接有硬中斷信號(hào)的引腳有( C )。A、15個(gè) B、8個(gè) C、2個(gè) D、1個(gè)123、設(shè)8259A當(dāng)前最高優(yōu)先級(jí)為IR5,如果要使該中斷在下一循環(huán)中變?yōu)樽畹蛢?yōu)先級(jí),則OCW2應(yīng)設(shè)為( C )。A、11100000 B、10100101C、10100000 D、01100101124、欲讀取8259A的IMR內(nèi)容,可( D )。A、先向8259A寫入OCW3。然后讀8259A的奇地址 B、直接讀8259A的偶地址 C、先向8259A寫入OCW3。然后讀8259A的偶地址 D、直接讀8259A的奇地址 125、80486CPU響應(yīng)中斷時(shí),自動(dòng)壓入堆棧的信息是( D )。 A、AX,BX,CX,DX的內(nèi)容 B、AX,CX的內(nèi)容 C、CS,IP,SP的內(nèi)容 D、CS,IP,標(biāo)志寄存器的內(nèi)容126、實(shí)模式下,80486管理的內(nèi)存空間中,地址為00000H003FFH中存放著( D ) A、用戶程序代碼 B、BIOS代碼 C、DOS系統(tǒng)代碼 D、中斷向量表127、設(shè)某中斷服務(wù)程序在中斷向量表的地址為:0:70H,則該中斷的中斷類型碼應(yīng)是( D )。 A、35H B、18H C、1BH D、1CH128、下列引起CPU程序中斷的四種情況中,( C )需要由硬件提供中斷類型碼。A、INTO B、NMI C、INT R D、INT n129、實(shí)模式下,對(duì)于80486微機(jī)系統(tǒng),其中斷類型碼為18H的中斷向量從內(nèi)存中物理地址為( D )開始存放,共占( )個(gè)字節(jié)。A、00072H,4 B、00048H,2 C、00030H,2 D、00060H,4130、若8259工作在自動(dòng)循環(huán)方式下,當(dāng)前IR3上的中斷請(qǐng)求已執(zhí)行并返回,則8個(gè)中斷源中優(yōu)先級(jí)最高的是( A )。 A、IR4 B、IR2 C、IR3 D、IR0131、8259A應(yīng)用中,需對(duì)IR5,IR3進(jìn)行屏蔽,操作命令字OCW1應(yīng)寫入( B )。 A、D7H B、28H C、53H D、35H132、中斷向量可以提供( C )。 A、被選中設(shè)備的起始地址 B、傳送數(shù)據(jù)的起始地址 C、中斷服務(wù)程序入口地址 D、主程序的斷點(diǎn)地址133、非屏蔽中斷的中斷類型號(hào)是( B )。 A、1 B、2 C、3 D、4134、通常情況下,一個(gè)外中斷服務(wù)程序的第一條指令是STI,其目的是( C )。 A、開放所有屏蔽中斷 B、允許低一級(jí)中斷產(chǎn)生 C、允許高一級(jí)中斷產(chǎn)生 D、允許同一級(jí)中斷產(chǎn)生135、執(zhí)行返回指令,退出中斷服務(wù)程序,這時(shí)返回地址來自( C )。 A、ROM區(qū) B、程序計(jì)數(shù)器 C、堆棧區(qū) D、CPU的暫存寄存器136、實(shí)模式下,NMI中斷的中斷矢量在中斷矢量表中的位置是( C )。 A、由DOS自動(dòng)分配 B、由程序指定 C、固定在已0008H開始的2個(gè)字單元中 D、固定在中斷矢量表表首137、4片8259級(jí)聯(lián)工作,可管理的外部中斷源的級(jí)數(shù)為( D )。 A、4 B、32 C、28 D、29138、PC機(jī)中為使工作于一般全嵌套方式的8259中斷控制器能接受下一個(gè)中斷請(qǐng)求,在中斷服務(wù)程序結(jié)束處應(yīng)( C )。 A、執(zhí)行IRET指令 B、執(zhí)行POP指令 C、發(fā)送EOI命令 D、發(fā)送OCW3命令139、80486CPU工作在實(shí)模式下執(zhí)行指令I(lǐng)NT 30H時(shí),中斷服務(wù)程序的入口地址存放在以( C )開始的兩個(gè)字節(jié)單元中。 A、00120H B、00090H C、000C0H D、00060H140、下列說法中,錯(cuò)誤的是( C )。A、8259A的自動(dòng)EOI方式只適用于不出現(xiàn)多重中斷的場(chǎng)合。 B、8259A的初始化結(jié)束后,在寫入OCW2之前,它按完全嵌套方式工作。C、在PC/XT下不能擴(kuò)展新的8259A。D、8259A的8個(gè)中斷源的中斷向量在中斷向量表中是連續(xù)存放的。141、微機(jī)中控制總線提供( D )。A、來自I/O設(shè)備和存儲(chǔ)器的響應(yīng)信號(hào) B、所有存儲(chǔ)器和I/O設(shè)備的時(shí)序信號(hào)和控制信號(hào)C、存儲(chǔ)器和I/O設(shè)備的地址碼 D、上述(B)(A)142、占用CPU時(shí)間最長(zhǎng)的數(shù)據(jù)傳送方式是( C )。 A、DMA B、中斷 C、查詢 D、無條件143、在微型計(jì)算機(jī)中將各個(gè)主要組成部件連接起來,組成一個(gè)可擴(kuò)充基本系統(tǒng)的總線稱之為( D )。A、外部總線 B、內(nèi)部總線 C、局部總線 D、系統(tǒng)總線144、現(xiàn)行PC機(jī)中,I/O口常用的I/O地址范圍是( D )。 A、0000HFFFFH B、0000H7FFFH C、0000H3FFFH D、0000H03FFH145、PC機(jī)執(zhí)行輸出指令OUT時(shí),向相應(yīng)的I/O接口芯片產(chǎn)生的有效控制信號(hào)是( C )。 A、AEN B、IOR C、IOW D、ALE146、當(dāng)M/IO=0, W/R=0時(shí),80486CPU完成的操作為( B )。 A、存儲(chǔ)器讀 B、I/O讀 C、存儲(chǔ)器寫 D、I/O寫147、以80486CPU構(gòu)成的PC機(jī),其系統(tǒng)總線至少應(yīng)采用( C )。A、EISA總線 B、S100總線 C、ISA總線 D、PC/XT總線148、通常一個(gè)外設(shè)的狀態(tài)信息在狀態(tài)端口內(nèi)占有( A )位。A、1 B、2 C、4 D、8149、按與存儲(chǔ)器的關(guān)系,I/O端口的編址方式分為( C )。A、線性和非線性編址 B、集中與分散編址 C、統(tǒng)一和獨(dú)立編址 D、重疊與非重疊編址150、在中斷傳送方式下,主機(jī)與外部設(shè)備間的數(shù)據(jù)傳送通路是( A )。A、數(shù)據(jù)總線DB B、專用數(shù)據(jù)通路 C、地址總線AB D、控制總線CB151、狀態(tài)信息是通過( A )總線進(jìn)行傳送的。A、數(shù)據(jù) B、地址 C、控制 D、外部152、下列總線中,屬于局部總線的是( D )。A、ISA B、EISA C、MCA D、PCI153、利用程序查詢方式傳送數(shù)據(jù)時(shí),CPU必須讀( A )以判斷是否傳送數(shù)據(jù)。A、外設(shè)的狀態(tài) B、DMA的請(qǐng)求信號(hào) C、數(shù)據(jù)輸入信息 D、外設(shè)中斷請(qǐng)求154、若8259A工作在自動(dòng)循環(huán)方式下,當(dāng)前IR1上的中斷請(qǐng)求已執(zhí)行并返回,則8個(gè)中斷源中優(yōu)先級(jí)最高的是( A )。A、IR2 B、IR0 C、IR7 D、IR5155、要屏蔽某外部中斷,可通過改變8259A( B )的內(nèi)容實(shí)現(xiàn)。A、IRR B、IMR C、ISR D、PR156、欲讀取8259A的IRR的內(nèi)容,必須先寫( D )命令字。A、ICW1 B、OCW2 C、ICW2 D、OCW3 157、80X86系統(tǒng)中硬件中斷服務(wù)程序的入口地址可由( C )得到。A、主程序中的調(diào)用指令 B、主程序中的轉(zhuǎn)移指令158、80486CPU有( C )引腳可接收外部中斷請(qǐng)求信號(hào)。A、15個(gè) B、8個(gè) C、2個(gè) D、1個(gè)159、中斷控制器8259A采用級(jí)連方式時(shí)最多可管理( A )中斷源。A、64個(gè) B、32個(gè) C、16個(gè) D、15個(gè)160、在下列各種中斷中,需由外部電路提供中斷類型號(hào)的是( D )。A、INTO B、INT n C、NMI D、INTR161、臺(tái)微型計(jì)算機(jī)的型號(hào)是奔四800,則其中800的含義是( D )A、CPU中有800個(gè)寄存器 B、CPU中有800個(gè)運(yùn)算器C、該微機(jī)的內(nèi)存為800MB D、時(shí)鐘頻率為800MHZ162、算機(jī)內(nèi)部,一切信息的存取,處理和傳送都是以( D )形式進(jìn)行。A、EBCDIC碼 B、ASCII碼 C、十六進(jìn)制編碼 D、二進(jìn)制編碼163、位PC機(jī)中整數(shù)的有效范圍是( D )A、- 3276832767 B、- 3276732767 C、065535 D、- 3276832767或065535164、 C )表示中,二進(jìn)制數(shù)11111111B表示十進(jìn)制數(shù)1A、原碼 B、反碼 C、補(bǔ)碼 D、BCD碼165、位的二進(jìn)制數(shù)的補(bǔ)碼形式表示一個(gè)帶符號(hào)數(shù),它能表示的整數(shù)范圍是( D )A、-127+127 B、-128+128 C、-127+128 D、-128+127166、機(jī)中運(yùn)算器和控制器合稱為( A )A、CPU B、ALU C、主機(jī) D、ENIAC167、位的個(gè)人計(jì)算機(jī),一個(gè)字節(jié)(Byte)由( B )位(bit)組成。A、4 B、8 C、16 D、32168、機(jī)器中浮點(diǎn)數(shù)的表示格式如下:階符階碼尾符尾碼15 14 12 11 10 0當(dāng)尾數(shù)用補(bǔ)碼,階碼(階碼基數(shù)為2,尾數(shù)以規(guī)格化數(shù)表示)用補(bǔ)碼表示時(shí),- 123 . 625的表示形式為 ( A )。A、0111100001000110 B、0111111111011010C、0111100001000101 D、1000000001000110169、能上,8086的CPU由( C )兩部分組成。A、SP、ALU B、控制器、FLAGS C、EU、BIU D、EU、ALU170、存器FLAGS中存放兩類標(biāo)志,即( B )。A、符號(hào)標(biāo)志、溢出標(biāo)志 B、控制標(biāo)志、狀態(tài)標(biāo)志C、方向標(biāo)志、進(jìn)位標(biāo)志 D、零標(biāo)志、奇偶標(biāo)志171、在保護(hù)模式下,代碼段的段基址存在于( D)中。 A、段選擇符 B、指令指針寄存器 C、段寄存器 D、段描述符172、查表指令XLAT規(guī)定,待查表的首址應(yīng)存入( D)中。A、BP B、SI C、DI D、BX173、取的某一條指令的偏移地址由( D)提供。A、SI B、BP C、SP D、IP174、寄存器間接尋址方式中,操作數(shù)在( C)中。A、通用寄存器 B、堆棧 C、主存單元 D、段寄存器 175、運(yùn)算型指令的尋址和轉(zhuǎn)移型指令的尋址,其不同點(diǎn)在于( A)。A、前者取操作數(shù),后者決定程序的轉(zhuǎn)移地址 B、后者取操作數(shù),前者決定程序的轉(zhuǎn)移地址 C、兩者都是取操作數(shù) D、兩者都是決定程序的轉(zhuǎn)移地址176、JMP WORD PTR DI是( A)。 A、段內(nèi)間接轉(zhuǎn)移 B、段間間接轉(zhuǎn)移 C、段內(nèi)直接轉(zhuǎn)移 D、段間直接轉(zhuǎn)移177、INC指令不影響( B)標(biāo)志。A、OF B、CF C、SF D、ZF178、邏輯移位指令SHL用于( C)。A、帶符號(hào)數(shù)乘2 B、帶符號(hào)數(shù)除2 C、無符號(hào)數(shù)乘2 D、無符號(hào)數(shù)除2179、算術(shù)移位指令SAR用于( B)。A、帶符號(hào)數(shù)乘2 B、帶符號(hào)數(shù)除2 C、無符號(hào)數(shù)乘2 D、無符號(hào)數(shù)除2180、下列指令中,有語法錯(cuò)誤的是( D)。A、MOV SI,AX B、IN AL,DX C、XOR AX,1234H D、OUT 210H,AL181、采用高速緩存Cache的目的是( B )。A、提高總線速度 B、提高主存速度 C、使CPU全速運(yùn)行 D、擴(kuò)大尋址空間182、堆棧的工作方式是( D )。A、先進(jìn)先出 B、隨機(jī)讀寫C、只能讀出,不能寫入 D、后進(jìn)先出183、EPROM是指( D )。A、隨機(jī)讀寫存儲(chǔ)器 B、可編程只讀存儲(chǔ)器C、只讀存儲(chǔ)器 D、可擦除可編程只讀存儲(chǔ)器184、連續(xù)啟動(dòng)兩次獨(dú)立的存儲(chǔ)器操作之間的最小間隔叫( A )。A、存取時(shí)間 B、讀周期 C、寫周期 D、存取周期185、對(duì)存儲(chǔ)器訪問時(shí),地址線有效和數(shù)據(jù)線有效的時(shí)間關(guān)系應(yīng)該是( C )。A、數(shù)據(jù)線較先有效 B、二者同時(shí)有效 C、地址線較先有效 D、同時(shí)高電平186、微機(jī)的內(nèi)存器可用( A )構(gòu)成。A、RAM和ROM B、硬盤 C、軟盤 D、光盤187、和外存儲(chǔ)器相比,內(nèi)存儲(chǔ)器的特點(diǎn)是( C 。A、容量大、速度快、成本低 B、容量大、速度慢、成本高C、容量小、速度快、成本高 D、容量小、速度快、成本低188、若內(nèi)存容量為64KB,則訪問內(nèi)存所需地址線( A )條 A、16 B、20 C、18 D、19 189、若用6264SRAM芯片(8K×8位)組成128KB的存儲(chǔ)器系統(tǒng),需要( A )片6264芯片。 A、16 B、24 C、32 D、64 190、若內(nèi)存容量為64KB,則訪問內(nèi)存所需地址線( A )條。A、16 B、20 C、18 D、19二、判斷題1、80486的邏輯段不允許有段的重疊和交叉。 ( F )2、在80486的32位標(biāo)志寄存器中,其每一位都有一定的含義。 ( F )3、若一個(gè)數(shù)據(jù)塊的起始地址為20A0H:0F6H,則該數(shù)據(jù)塊起始地址的實(shí)際地址是21B60H。 ( F )4、SP的內(nèi)容可以不指向堆棧的棧頂。 ( F )5、寄存器尋址其運(yùn)算速度較低。 ( F )6、指令MOV AX,BX的源操作數(shù)是寄存器尋址方式。 ( F )7、對(duì)堆棧區(qū)的操作必須遵循先進(jìn)先出的原則。 ( F )8、比較兩個(gè)帶符號(hào)數(shù)的大小,可根據(jù)CF標(biāo)志來判斷。 ( F )9、邏輯操作符AND,OR,XOR和NOT,只能用于數(shù)字表達(dá)式。 ( T )10、不能給段寄存器賦立即數(shù)。 ( T )11、OF位可用來表示有符號(hào)數(shù)的溢出。 ( T )12、無條件轉(zhuǎn)移指令只能用于段內(nèi)直接轉(zhuǎn)移。 ( F )13、MOV AX,BP的源操作數(shù)的物理地址為16*(DS)+(BP)。 ( F )14、指令MOV DI,OFFSET BXSI是正確的。 ( F )15、指令MOV CS,BX是非法的。 ( T )16、靜態(tài)隨機(jī)存儲(chǔ)器中的內(nèi)容可以永久保存。 ( F )17、總線周期是指CPU執(zhí)行一條指令所需的時(shí)間。 ( F )18、無論采用何種工藝,動(dòng)態(tài)RAM都是利用電容存儲(chǔ)電荷的原理來保存信息的。 ( T )19、Cache是一種快速的靜態(tài)RAM,它介于CPU與內(nèi)存之間。 ( T )20、尋址256M字節(jié)內(nèi)存空間,需28條地址線。 ( T )21、字長(zhǎng)是描述CPU數(shù)據(jù)總線寬度的指標(biāo)。 ( T )22、計(jì)算機(jī)的堆棧是一種特殊的數(shù)據(jù)存儲(chǔ)區(qū),數(shù)據(jù)存取采用先進(jìn)先出的原則。 ( F )23、當(dāng)運(yùn)算結(jié)果各位全部為零時(shí),標(biāo)志ZF=0。 ( F )24、邏輯地址不是物理地址,但它是唯一的。 ( F )25、指令NOT AX,BX是合法的。 ( F )26、MOV BXBP,AX是對(duì)的。 ( F )27、80486中對(duì)堆棧單元的存取操作是以字節(jié)為單位的。 ( F )28、JMP指令要影響標(biāo)志位。 ( F )29、INC指令影響所有狀態(tài)標(biāo)志。 ( F )30、欲交換寄存器SI,DI的內(nèi)容,可用指令XCHG SI,DI實(shí)現(xiàn)。 ( T )31、CPU中的程序計(jì)數(shù)器IP中存放的是指令的邏輯地址。 ( T )32、兩個(gè)符號(hào)相同的數(shù)相減不會(huì)產(chǎn)生溢出。 ( T )33、匯編程序就是匯編語言程序。 ( F )34、相對(duì)尋址中的位移量只能用16位表示。 ( F )35、EPROM是指可擦除可編程隨機(jī)讀寫存儲(chǔ)器。 ( T )36、某內(nèi)存模塊的地址范圍為80000H0BFFFFH,該模塊的容量為256K ( T )37、在查詢方式下輸入輸出時(shí),在I/O接口中設(shè)有狀態(tài)寄存器,通過它來確定I/O設(shè)備是否準(zhǔn)備好。輸入時(shí),準(zhǔn)備好表示已滿;輸出時(shí),準(zhǔn)備好表示已空。 ( T )38、無條件式的I/O是按先讀狀態(tài)口,再讀數(shù)據(jù)口的順序傳送數(shù)據(jù)的。 ( T )39、I/O數(shù)據(jù)緩沖器主要用于協(xié)調(diào)CPU與外設(shè)在速度上的差異。 ( T )40、查詢式輸入輸出是按先讀狀態(tài)端口,后讀/寫數(shù)據(jù)端口的順序傳送數(shù)據(jù)的。 ( T )41、CLD指令是MOVSB指令的使用條件之一。 ( F )42、段寄存器間不能直接進(jìn)行數(shù)據(jù)傳送。 ( T )43、要把變量BUFF的有效地址送給BX,可用MOV BX,BUFF指令。 ( F )44、當(dāng)對(duì)堆棧段的數(shù)據(jù)進(jìn)行操作時(shí),其操作數(shù)的有效地址可保存在BP中。 ( T )45、TEST指令屬于邏輯運(yùn)算指令。 ( T )46、CS和IP都不能作傳送指令的目的操作數(shù)。 ( T )47、連接CPU和外設(shè)的接口電路中必須要有狀態(tài)端口。 ( F )48、總線是專門用于完成數(shù)據(jù)傳送的一組信號(hào)線。 ( F )49、I/O接口的基本功能之一是完成數(shù)據(jù)的緩沖 。 ( T )50、要實(shí)現(xiàn)微機(jī)與慢速外設(shè)間的數(shù)據(jù)傳送,只能利用查詢方式完成。 ( F )51、單片8259A最多可接8個(gè)中斷源。 ( T )52、中斷服務(wù)程序結(jié)束時(shí),可用RET指令代替IRET指令返回主程序。 ( F )53、若8259A工作在優(yōu)先級(jí)自動(dòng)循環(huán)方式,則IRQ4的中斷請(qǐng)求被響應(yīng)并且服務(wù)完畢后,優(yōu)先級(jí)最高的中斷源是IRQ3。 ( T )54、CPU在響應(yīng)外中斷時(shí),會(huì)自動(dòng)將標(biāo)志標(biāo)志寄存器的IF和TF清零。 ( T )55、可屏蔽中斷要執(zhí)行兩個(gè)中斷響應(yīng)總線周期。 ( T )56、內(nèi)中斷不受IF和TF標(biāo)志的影響。 ( F )57、在可屏蔽中斷的嵌套原則中,不允許低級(jí)中斷打斷高級(jí)中斷,但允許同級(jí)中斷相互打斷。 ( F )58、同一片8259的8個(gè)中斷源的中斷向量在中斷向量表中可以不連續(xù)存放。 ( F )59、中斷向量地址是中斷服務(wù)程序入口地址。 ( F )60、利用8259A對(duì)外中斷進(jìn)行管理時(shí),只能管理8個(gè)中斷源。 ( F )

注意事項(xiàng)

本文(《微機(jī)原理與接口技術(shù)》習(xí)題.doc)為本站會(huì)員(最***)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!