歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

《數(shù)字電子技術(shù)》課期末考試復(fù)習(xí)題.doc

  • 資源ID:12767727       資源大小:705.50KB        全文頁數(shù):11頁
  • 資源格式: DOC        下載積分:5積分
快捷下載 游客一鍵下載
會員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要5積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號,方便查詢和重復(fù)下載(系統(tǒng)自動生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號:
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說明有答案則都視為沒有答案,請知曉。

《數(shù)字電子技術(shù)》課期末考試復(fù)習(xí)題.doc

電子信息工程學(xué)院 課程名稱數(shù)字電子技術(shù)復(fù)習(xí)題得分評卷人一、填空題(每小題分,共分)(1)邏輯代數(shù)中的三種基本的邏輯運(yùn)算是(與)運(yùn)算、(或)運(yùn)算和(非)運(yùn)算。(2)邏輯變量和邏輯函數(shù)的取值只有(0)和(1)兩種取值。它們表示兩種相反的邏輯狀態(tài)。(3)與邏輯運(yùn)算規(guī)則可以歸納為有0出 (0),全1出(1)。(4)或邏輯運(yùn)算規(guī)則可以歸納為有1出 (1),全0出(0)。(5)與非邏輯運(yùn)算規(guī)則可以歸納為有(0)出1,全(1)出0。(6)或非邏輯運(yùn)算規(guī)則可以歸納為有(1)出0,全(0)出1。(7)二極管從導(dǎo)通到截止所需時間稱為(開通)時間。(8)OC門是集電極(開路)門,使用時必須在電源VCC與輸出端之間外接(電阻)。(9)在數(shù)字電路中,三極管工作在(飽和)狀態(tài)和(截止)狀態(tài)。(10)三態(tài)輸出門輸出的三個狀態(tài)分別為(低電平)、(高電平)、(高阻態(tài))。(11)邏輯代數(shù)中三條重要的規(guī)則是(代入)規(guī)則、(對偶)規(guī)則和(反演)規(guī)則。(12)化簡邏輯函數(shù)的主要方法有(代數(shù))化簡法和(卡諾圖)化簡法。(13)邏輯函數(shù)的表示方法主要有(函數(shù)表達(dá)式)、(真值表)、(邏輯)、卡諾圖和波形圖。(31)編碼器按功能不同分為(二進(jìn)制)編碼器、(二-十進(jìn)制)編碼器和優(yōu)先編碼器。(32)譯碼器按功能不同分為(二進(jìn)制)譯碼器、(二-十進(jìn)制)譯碼器和顯示譯碼器。(33)8選1數(shù)據(jù)選擇器在所有輸入數(shù)據(jù)都為1時,其輸出標(biāo)準(zhǔn)與或表達(dá)式共有( 8 )個最小項(xiàng)。(34)輸入3位二進(jìn)制代碼的二進(jìn)制譯碼器應(yīng)有( 8 )個輸出端,共輸出( 8 )個最小項(xiàng)。(35)共陽極LED數(shù)碼管應(yīng)由輸出( 低 )電平的七段顯示譯碼器來驅(qū)動點(diǎn)亮。而共陰極LED數(shù)碼管應(yīng)由輸出( 高 )電平的七段顯示譯碼器來驅(qū)動點(diǎn)亮。(41)二進(jìn)制數(shù)是以( 2 )為基數(shù)的計(jì)數(shù)體制,十進(jìn)制數(shù)是以( 10 )為基數(shù)的計(jì)數(shù)體制,十六進(jìn)制是以( 16 )為計(jì)數(shù)體制。(42)十進(jìn)制數(shù)轉(zhuǎn)換為二進(jìn)制數(shù)的方法是:整數(shù)部分用(除2取余),小數(shù)部分用(乘2取整)法。(43)二進(jìn)制數(shù)轉(zhuǎn)換為十進(jìn)制數(shù)的方法是(各位按權(quán)展開相加)。(44)全加器有三個輸入端,它們分別為(被加數(shù) )、( 加數(shù) )和相鄰低位進(jìn)位;輸出端有兩個,分別為本位和、進(jìn)位數(shù)。(45)數(shù)值比較器的功能是比較兩組二進(jìn)制數(shù)的大小或相等的電路,當(dāng)輸入A=1111和B=1101時,則它們比較得結(jié)果為(A>B )。(51)觸發(fā)器具有兩個穩(wěn)定狀態(tài),在外信號作用下這(兩個穩(wěn)定狀態(tài))可相互轉(zhuǎn)換。(52)邊沿JK觸發(fā)器具有(置0 )、( 置1 )、( 保持 )和 翻轉(zhuǎn)功能。(55)在一個CP脈沖作用下,引起觸發(fā)器兩次或多次翻轉(zhuǎn)的現(xiàn)象稱為觸發(fā)器的空翻,觸發(fā)方式為主從式或邊沿式的觸發(fā)器不會出現(xiàn)這種現(xiàn)象。(61)對于時序邏輯電路來說,某時刻電路的輸出狀態(tài)不僅取決于該時刻的(輸入信號),而且還取決電路的(原有狀態(tài)),因此,時序邏輯電路具有(記憶)性。(62)時序邏輯電路由(組合邏輯)電路和(存儲)電路兩部分組成,(存儲)電路必不可少。(63)計(jì)數(shù)器按進(jìn)制分:有二進(jìn)制計(jì)數(shù)器、( 十 )進(jìn)制計(jì)數(shù)器和任意進(jìn)制計(jì)數(shù)器。(64)集成計(jì)數(shù)器的清零方式分為(異步置零)和(同步置零);置數(shù)方式分為(同步置數(shù))和(同步置數(shù))。(65)一個4位二進(jìn)制加法計(jì)數(shù)器的起始計(jì)數(shù)狀態(tài)Q3Q2Q1Q0=1010,當(dāng)最低位接收到4個計(jì)數(shù)脈沖時,輸出的(1110)。(72)多諧振蕩器沒有(穩(wěn)定)狀態(tài),只有兩個暫穩(wěn)態(tài)狀態(tài),其振蕩周期T取決于(RC的值)。(71)常見的脈沖產(chǎn)生電路有(多諧振蕩器),常見的脈沖整形電路有(單穩(wěn)態(tài)觸發(fā)器)、(施密特觸發(fā)器)。(73)施密特觸發(fā)器具有回差現(xiàn)象,又稱(電壓滯后)特性;單穩(wěn)觸發(fā)器最重要的參數(shù)為(脈寬)。(74)在由555定時器組成的多諧振蕩器中,其輸出脈沖的周期T為(0.7(R1+R2)C)。(75)在由555定時器組成的單穩(wěn)態(tài)觸發(fā)器中,其輸出脈沖寬度tW為(1.1RC)。(81)將模擬信號轉(zhuǎn)換為數(shù)字信號,需要經(jīng)過(采用)、(保持)、(量化)、(編碼)四個過程。(82)DA轉(zhuǎn)換器用以將輸入的二進(jìn)制代碼轉(zhuǎn)換為相應(yīng)(模擬電壓)輸出的電路。(83)R-2R 倒T型網(wǎng)絡(luò)DA轉(zhuǎn)換器主要由(電子模擬開關(guān))、(基準(zhǔn)電壓)、(R-2R倒T型電阻網(wǎng)絡(luò)) 和(求和運(yùn)算放大器)等部分組成。(84)AD轉(zhuǎn)換器從轉(zhuǎn)換過程看可分為兩類(直接A/D轉(zhuǎn)換器)和(間接A/D轉(zhuǎn)換器)兩類。(85)A/D轉(zhuǎn)換器的位數(shù)越多,能分辨最小模擬電壓的值就(越?。5梅衷u卷人二、判斷題(每小題分,共分;對的打“”,錯的打“”)(1)二極管可組成與門電路,但不能組成或門電路。( )(2)三態(tài)輸出門可實(shí)現(xiàn)“線與”功能。( )(3)二端輸入與非門的一個輸入端接高電平時,可構(gòu)成反相器。( )(4)74LS00是2輸入端4與非門。( )(5)二端輸入或非門的一個輸入端接低電平時,可構(gòu)成反相器。( )(21)邏輯函數(shù)的標(biāo)準(zhǔn)與或表達(dá)式又稱為最小項(xiàng)表達(dá)式,它是唯一的。( )(22)卡諾圖化簡邏輯函數(shù)的實(shí)質(zhì)時合并相鄰最小項(xiàng)。( )(23)因?yàn)?,所以? )(24)因?yàn)?,所以? )(25)邏輯函數(shù)又可以寫成。( )(31)優(yōu)先編碼器的編碼信號是相互排斥的,不允許多個編碼信號同時有效。( )(32)編碼與譯碼是互逆的過程。( )(33)二進(jìn)制譯碼器相當(dāng)于是一個最小項(xiàng)發(fā)生器,便于實(shí)現(xiàn)組合邏輯電路。( )(34)共陰接法發(fā)光二極管數(shù)碼顯示器需選用有效輸出為高電平的七段顯示譯碼器來驅(qū)動。( )(35)數(shù)據(jù)選擇器和數(shù)據(jù)分配器的功能正好相反,互為逆過程。( )(41)一個n為二進(jìn)制數(shù),最高位的權(quán)值是2n-1。( )(42)十進(jìn)制數(shù)45的8421BCD碼是101101。( )(43)余3BCD碼是用3位二進(jìn)制數(shù)表示一位十進(jìn)制數(shù)。( )(44)半加器只考慮1位二進(jìn)制數(shù)相加,不考慮來自低位的進(jìn)位數(shù)。( )(45)數(shù)值比較器是用于比較兩組二進(jìn)制數(shù)大小的電路。( )(51)RS觸發(fā)器的約束條件RS=0表示不允許出現(xiàn)R=S=1的輸入。( )(52)主從JK觸發(fā)器、邊沿JK觸發(fā)器和同步JK觸發(fā)器的邏輯功能完全相同。( )(53)對邊沿JK觸發(fā)器,在CP為高電平期間,當(dāng)J=K=1時,狀態(tài)會翻轉(zhuǎn)一次。( )(54)若要實(shí)現(xiàn)一個可暫停的一位二進(jìn)制計(jì)數(shù)器,控制信號A=0計(jì)數(shù),A=1保持,可選用T觸發(fā)器,且令T=A。( )(55)同步D觸發(fā)器在CP=1期間,D端輸入信號變化時,對輸出Q端沒有影響。( )(61)同步時序電路具有統(tǒng)一的時鐘CP控制。( )(62)十進(jìn)制計(jì)數(shù)器由十個觸發(fā)器組成。( )(63)異步計(jì)數(shù)器的計(jì)數(shù)速度最快。( )(64)4位二進(jìn)制計(jì)數(shù)器也是一個十六分頻電路。( )(65)雙向移位寄存器可同時執(zhí)行左移和右移功能。( )(71)施密特觸發(fā)器可用于將三角波變換成正弦波。( )(72)施密特觸發(fā)器有兩個穩(wěn)態(tài)。( )(73)多諧振蕩器的輸出信號的周期與阻容元件的參數(shù)成正比。( )(74)石英晶體多諧振蕩器的振蕩頻率與電路中的R、C成正比。( )(75)單穩(wěn)態(tài)觸發(fā)器的暫穩(wěn)態(tài)時間與輸入觸發(fā)脈沖寬度成正比。( )(81)D/A轉(zhuǎn)換器的位數(shù)越多,轉(zhuǎn)換精度越高。( ) (82)雙積分型A/D轉(zhuǎn)換器的轉(zhuǎn)換精度高、抗干擾能力強(qiáng),因此常用于數(shù)字式儀表中。( )(3)采樣定理的規(guī)定是為了能不失真地恢復(fù)原模擬信號,而又不使電路過于復(fù)雜。( )(84)A / D 轉(zhuǎn)換器完成一次轉(zhuǎn)換所需的時間越小,轉(zhuǎn)換速度越慢。( )(85)A/D轉(zhuǎn)換器的二進(jìn)制數(shù)的位數(shù)越多,量化單位越小。( ) 得分評卷人三、單項(xiàng)選擇題(每小題分,共分,將對的序號填入括號內(nèi),每小題只有一個選項(xiàng)是對的,多選無效)(1)要使與門輸出恒為0,可將與門的一個輸入端( A )。A. 接0 B. 接1 C.接0、1都可以 D.輸入端并聯(lián)(2)要使或門輸出恒為1,可將或門的一個輸入端( B )。A. 接0 B. 接1 C.接0、1都可以 D.輸入端并聯(lián)(3)要使異或門成為反相器時,則另一個輸入端應(yīng)接( B )。A. 接0 B. 接1 C.接0、1都可以 D.兩輸入端并聯(lián)(4)集電極開路門(OC門)在使用時,輸出端通過電阻接( B )。A. 地 B. 電源 C. 輸入端 D. 都不對 (5)以下電路中常用于總線應(yīng)用的有( D )。A. OC門 B. CMOS與非門 C. 漏極開路門 D. TSL門(21)指出下列各式中哪個是3變量ABC的最小項(xiàng)(B)。A AB B. ABC C. AC D. A+B (22)邏輯項(xiàng)的邏輯相鄰項(xiàng)為( A )A. B. C. D. (23)實(shí)現(xiàn)邏輯函數(shù)需要用( B )A. 兩個與非門 B. 三個與非門 C. 兩個或非門 D. 三個或非門(24)使邏輯函數(shù)取值為1的變量取值是( C )A. 001 B. 101 C. 011 D. 111(25)函數(shù)與,( D ) A. 互為對偶式 B. 互為反函數(shù) C. 相等 D. A、B、C都不對(31)若在編碼器中有50個編碼對象,則要求輸出二進(jìn)制代碼位數(shù)為( B )位。 A.5 B.6 C.10 D.50(32)一個16選一的數(shù)據(jù)選擇器,其地址輸入(選擇控制輸入)端有( C )個。 A.1 B.2 C.4 D.16(34)用四選一數(shù)據(jù)選擇器實(shí)現(xiàn)函數(shù)Y=,應(yīng)使( A )。A.D0=D2=0,D1=D3=1 B.D0=D2=1,D1=D3=0C.D0=D1=0,D2=D3=1 D.D0=D1=1,D2=D3=0(35)八路數(shù)據(jù)分配器,其地址輸入端有( C )個。A.1 B.2 C.3 D.4 E.8(41)1010的基數(shù)是( B )A、10 B、2 C、16 D、任意數(shù)(42)二進(jìn)制數(shù)的權(quán)值是( D ) A、10的冪 B、8的冪 C、16的冪 D、2的冪(43)和4位串行進(jìn)位加法器相比,使用4位超前進(jìn)位加法器的目的是(B)A、完成4位加法運(yùn)算 B、提高加法運(yùn)算速度C、完成串并行加法運(yùn)算 D、完成加法運(yùn)算自動進(jìn)位(44)能對二進(jìn)制數(shù)進(jìn)行比較的電路是( A )A、數(shù)值比較器 B、數(shù)據(jù)分配器 C、數(shù)據(jù)選擇器 D、編碼器(45)8位串行進(jìn)位加法器由( A )A、8個全加器組成 B、8個半加器組成 C、4個全加器和4個半加器組成 D、16個全加器組成(51)存儲8位二進(jìn)制信息要 D 個觸發(fā)器。A.2 B.3 C.4 D.8(52)對于JK觸發(fā)器,若J=K,則可完成 C 觸發(fā)器的邏輯功能。A.RS B.D C.T D.T(53)欲使JK觸發(fā)器按Qn+1=Qn工作,可使JK觸發(fā)器的輸入端 ABDF 。A.J=K=0 B.J=Q,K= C.J=,K=Q D.J=Q,K=0 E.J=0,K=(54)欲使D觸發(fā)器按Qn+1=n工作,應(yīng)使輸入D= D 。A.0 B.1 C.Q D.(55)為實(shí)現(xiàn)將JK觸發(fā)器轉(zhuǎn)換為D觸發(fā)器,應(yīng)使 A 。A.J=D,K= B. K=D,J= C.J=K=D D.J=K=(61)同步計(jì)數(shù)器和異步計(jì)數(shù)器比較,同步計(jì)數(shù)器的顯著優(yōu)點(diǎn)是 A 。A.工作速度高 B.觸發(fā)器利用率高 C.電路簡單 D.不受時鐘CP控制。 (62)把一個五進(jìn)制計(jì)數(shù)器與一個四進(jìn)制計(jì)數(shù)器串聯(lián)可得到 D 進(jìn)制計(jì)數(shù)器。A.4 B.5 C.9 D.20(63)8位移位寄存器,串行輸入時經(jīng) D 個脈沖后,8位數(shù)碼全部移入寄存器中。A.1 B.2 C.4 D.8(64)一位8421BCD碼計(jì)數(shù)器至少需要 B 個觸發(fā)器。A.3 B.4 C.5 D.10(65)加/減計(jì)數(shù)器的功能是( A )A.既能進(jìn)行加法計(jì)數(shù)又能進(jìn)行減法計(jì)數(shù)B.加法計(jì)數(shù)和減法計(jì)數(shù)同時進(jìn)行C.既能進(jìn)行二進(jìn)制計(jì)數(shù)又能進(jìn)行十進(jìn)制計(jì)數(shù)D.既能進(jìn)行同步計(jì)數(shù)又能進(jìn)行異步計(jì)數(shù)(71)多諧振蕩器可產(chǎn)生 B 。A.正弦波 B.矩形脈沖 C.三角波 D.鋸齒波(72)石英晶體多諧振蕩器的突出優(yōu)點(diǎn)是 C 。A.速度高 B.電路簡單 C.振蕩頻率穩(wěn)定 D.輸出波形邊沿陡峭(73)555定時器可以組成 ABC 。A.多諧振蕩器 B.單穩(wěn)態(tài)觸發(fā)器 C.施密特觸發(fā)器 D.JK觸發(fā)器(74)用555定時器組成施密特觸發(fā)器,當(dāng)輸入控制端CO外接10V電壓時,回差電壓為 B 。A.3.33V B.5V C.6.66V D.10V(75)以下各電路中, B 可以產(chǎn)生脈沖定時。 A.多諧振蕩器 B.單穩(wěn)態(tài)觸發(fā)器 C.施密特觸發(fā)器 D.石英晶體多諧振蕩器(81)R-2R倒T型電阻網(wǎng)絡(luò)D/A轉(zhuǎn)換器中的阻值為( B )A. 分散值 B.R和2R C. 2R和3R D.R和R/2(82) 將一個時間上連續(xù)變化的模擬量轉(zhuǎn)換為時間上斷續(xù)(離散)的模擬量的過程稱為 A 。A.采樣 B.量化 C.保持 D.編碼(83)用二進(jìn)制碼表示指定離散電平的過程稱為 D 。A.采樣 B.量化 C.保持 D.編碼(84)將幅值上、時間上離散的階梯電平統(tǒng)一歸并到最鄰近的指定電平的過程稱為 B 。A.采樣 B.量化 C.保持 D.編碼(85)以下四種轉(zhuǎn)換器, A 是A/D轉(zhuǎn)換器且轉(zhuǎn)換速度最高。A.并聯(lián)比較型 B.逐次逼近型 C.雙積分型 D.施密特觸發(fā)器得分評卷人四、 簡答題(每小題分,共分)1. 進(jìn)行邏輯電路設(shè)計(jì)時,請問對與門和非門多余的輸入端如何處理?答:對于與門和與非門的多余輸入端可直接或通過電阻接到電源Vcc上,或?qū)⒍嘤嗟妮斎攵伺c正常使用的輸入端并聯(lián)使用。或門和或非門的多余輸入端應(yīng)接地或者與有用輸入端并接。2. 請簡述卡諾圖化簡法的基本原理和化簡方法?對無關(guān)項(xiàng)如何處理?答:卡諾圖化簡法是基于合并相鄰最小項(xiàng)的原理進(jìn)行化簡的,兩個相鄰最小項(xiàng)合并可以消去一個變量,4個相鄰最小項(xiàng)合并可以消去2個變量,一般說,2n個相鄰最小項(xiàng)合并,可以消去n個變量??ㄖZ圖化簡方法的優(yōu)點(diǎn)是簡單、直觀,有一定的步驟和方法可循。無關(guān)項(xiàng)可以取0,也可以取1,它的取值對邏輯函數(shù)值沒有影響,應(yīng)充分利用這一特點(diǎn)化簡邏輯函數(shù),以得到更為滿意的化簡結(jié)果。3.什么是譯碼器?常用的譯碼器有哪些?答:譯碼是編碼的逆過程,它將輸入代碼轉(zhuǎn)換成特定的輸出信號,即將每個代碼的信息“翻譯”出來。在數(shù)字電路中,能夠?qū)崿F(xiàn)譯碼功能的邏輯部件稱為譯碼器,譯碼器的種類有很多,常用的譯碼器有二進(jìn)制譯碼器、二-十進(jìn)制譯碼器、顯示譯碼器等。4.什么是數(shù)據(jù)分配器?答:將一路輸入數(shù)據(jù)分配到多路數(shù)據(jù)輸出中的指定通道上的邏輯電路稱為數(shù)據(jù)分配器,又稱多路數(shù)據(jù)分配器。數(shù)據(jù)分配器和譯碼器非常相似。將譯碼器進(jìn)行適當(dāng)連接,就能實(shí)現(xiàn)數(shù)據(jù)分配的功能。51觸發(fā)器和門電路是構(gòu)成數(shù)字系統(tǒng)的基本邏輯單元。前者具有記憶功能,用于構(gòu)成時序邏輯電路;后者沒有記憶功能,用于構(gòu)成組合邏輯電路。觸發(fā)器的兩個基本特點(diǎn):有兩個穩(wěn)定狀態(tài);在外信號作用下,兩個穩(wěn)定狀態(tài)可相互轉(zhuǎn)換,沒有外信號作用時,保持原狀態(tài)不變。因此,觸發(fā)器具有記憶功能,常用來保存二進(jìn)制信息。一個觸發(fā)器可存儲 1 位二進(jìn)制碼,存儲 n 位二進(jìn)制碼則需用 n 個觸發(fā)器。52觸發(fā)器的邏輯功能是指觸發(fā)器的次態(tài)與現(xiàn)態(tài)及輸入信號之間的邏輯關(guān)系。其描述方法主要有特性表、特性方程、驅(qū)動表、狀態(tài)轉(zhuǎn)換圖和波形圖(又稱時序圖)等。 觸發(fā)器根據(jù)邏輯功能不同分為 RS 觸發(fā)器 D 觸發(fā)器 JK 觸發(fā)器 T 觸發(fā)器 T觸發(fā)器61時序邏輯電路由觸發(fā)器和組合邏輯電路組成,其中觸發(fā)器必不可少。時序邏輯電路的輸出不僅與輸入有關(guān),而且還與電路原來的狀態(tài)有關(guān)。時序邏輯電路按時鐘控制方式不同分為同步時序邏輯電路和異步時序邏輯電路。前者所有觸發(fā)器的時鐘輸入端 CP 連在一起,在同一個時鐘脈沖 CP 作用下,凡具備翻轉(zhuǎn)條件的觸發(fā)器在同一時刻翻轉(zhuǎn)。后者時鐘脈沖 CP 只觸發(fā)部分觸發(fā)器,其余觸發(fā)器由電路內(nèi)部信號觸發(fā),因此,其觸發(fā)器的翻轉(zhuǎn)不在同一輸入時鐘脈沖作用下同步進(jìn)行。描述時序電路邏輯功能的方法有邏輯圖、狀態(tài)方程、驅(qū)動方程、輸出方程、狀態(tài)轉(zhuǎn)換真值表、狀態(tài)轉(zhuǎn)換圖和時序圖等。時序邏輯電路分析的關(guān)鍵是求出狀態(tài)方程和狀態(tài)轉(zhuǎn)換真值表,然后分析時序邏輯電路的功能。62計(jì)數(shù)器是快速記錄輸入脈沖個數(shù)的部件。按計(jì)數(shù)進(jìn)制分有:二進(jìn)制計(jì)數(shù)器、十進(jìn)制計(jì)數(shù)器和任意進(jìn)制計(jì)數(shù)器;按計(jì)數(shù)增減分有:加法計(jì)數(shù)器、減法計(jì)數(shù)器和加/減計(jì)數(shù)器;按觸發(fā)器翻轉(zhuǎn)是否同步分有:同步計(jì)數(shù)器和異步計(jì)數(shù)器。計(jì)數(shù)器除了用于計(jì)數(shù)外,還常用于分頻、定時等。集成計(jì)數(shù)器功能完善、使用方便靈活。功能表是其正確使用的依據(jù)。63利用集成計(jì)數(shù)器可以很方便地構(gòu)成 N 進(jìn)制(任意進(jìn)制)計(jì)數(shù)器。其主要方法為:反饋清零法和反饋置數(shù)法,當(dāng)需要擴(kuò)大計(jì)數(shù)器容量時,可將多片集成計(jì)數(shù)器進(jìn)行級聯(lián)。反饋清零法和反饋置數(shù)法的主要不同是:反饋歸零法將反饋控制信號加至清零端上;而反饋置數(shù)法則將反饋控制信號加至置數(shù)端 LD 上,且必須給置數(shù)輸入端 D3 D0 加上計(jì)數(shù)起始狀態(tài)值。反饋歸零法構(gòu)成計(jì)數(shù)器的初值一定是 0,而反饋置數(shù)法的初值可以是 0,也可以非 0 。設(shè)計(jì)時,應(yīng)弄清歸零或置數(shù)功能是同步還是異步的,同步則反饋控制信號取自 SN-1;異步則反饋控制信號取自 SN 。64寄存器主要用以存放數(shù)碼。移位寄存器不但可以存放數(shù)碼,還能對數(shù)碼進(jìn)行移位操作。移位寄存器有單向移位寄存器和雙向移位寄存器。集成移位寄存器使用方便、功能全、輸入和輸出方式靈活,功能表是其正確使用的依據(jù)。71多諧振蕩器沒有穩(wěn)定狀態(tài),只有兩個暫穩(wěn)態(tài)。依靠電容的充電和放電,使兩個暫穩(wěn)態(tài)相互自動交換。因此,多諧振蕩器接通電源后便輸出周期性的矩形脈沖。改變電容充、放電回路中的 R、C值的大小,便可調(diào)節(jié)振蕩頻率。在振蕩頻率穩(wěn)定度要求很高的情況下??刹捎檬⒕w多諧振蕩器。多諧振蕩器主要用作信號源。 72施密特觸發(fā)器有兩個穩(wěn)態(tài)狀態(tài),而每個穩(wěn)定狀態(tài)都是依靠輸入電平來維持的。當(dāng)輸入電壓大于正向閾值電壓UT+時,輸出狀態(tài)轉(zhuǎn)換到另一個穩(wěn)定狀態(tài);而當(dāng)輸入電壓小于負(fù)向閾值電壓UT-時,輸出狀態(tài)又返回到原來的穩(wěn)定狀態(tài)。利用這個特性可將輸入的任意電壓波形變換成邊沿陡峭的矩形脈沖輸出,特別是可將邊沿變化緩慢的信號變換成邊沿陡峭的矩形脈沖。施密特觸發(fā)器具有回差特性,調(diào)節(jié)回差電壓的大小,可改變電路的抗干擾能力。回差電壓越大,抗干擾能力越強(qiáng)。施密特觸發(fā)器主要用于波形變換成、脈沖整形、幅度鑒別等。73單穩(wěn)態(tài)觸發(fā)器有一個穩(wěn)定狀態(tài)和一個暫穩(wěn)態(tài),在沒有觸發(fā)脈沖作用時,電路處于穩(wěn)定狀態(tài)。在輸入觸發(fā)脈沖作用下,電路進(jìn)入暫穩(wěn)態(tài),經(jīng)一段時間后,自動返回到穩(wěn)定狀態(tài),從而輸出寬度和幅度都符合要求的矩形脈沖。輸出脈沖寬度取決于定時元件 R、C 值的大小,與輸入觸發(fā)脈沖沒有關(guān)系。調(diào)節(jié) R、C 值的大小,可改變輸出脈沖的寬度。74555 定時器是一種用途很廣的多功能電路,只需外接少量的阻容元件就可很方便地組成施密特觸發(fā)器、單穩(wěn)態(tài)觸發(fā)器和多諧振蕩器等,使用方便靈活,有較強(qiáng)的驅(qū)動負(fù)載的能力,獲得了廣泛的應(yīng)用。81.D/A 轉(zhuǎn)換是將輸入的數(shù)字量轉(zhuǎn)換為與之成正比的模擬電量。常用的 D/A 轉(zhuǎn)換器主要有權(quán)電阻網(wǎng)絡(luò)型、R-2R 倒 T 形電阻網(wǎng)絡(luò)型、權(quán)電流網(wǎng)絡(luò)型轉(zhuǎn)換器。 R-2R 倒 T 形電阻網(wǎng)絡(luò) D/A 轉(zhuǎn)換器所需電阻種類少,轉(zhuǎn)換速度快,便于集成化,但轉(zhuǎn)換精度較低。權(quán)電流網(wǎng)絡(luò) D/A 轉(zhuǎn)換器轉(zhuǎn)換速度和轉(zhuǎn)換精度都比較高。82.A/D轉(zhuǎn)換是將輸入的模擬電壓轉(zhuǎn)換為與之成正比的數(shù)字量。常用A/D轉(zhuǎn)換器主要有并聯(lián)比較型、雙積分型和逐次漸近型。其中,并聯(lián)比較型A/D轉(zhuǎn)換器屬于直接轉(zhuǎn)換型,其轉(zhuǎn)換速度最快,但價(jià)格貴;雙積分型A/D轉(zhuǎn)換器屬于間接轉(zhuǎn)換型,其速度慢,但精度高、抗干擾能力強(qiáng);逐次漸近型也屬于直接轉(zhuǎn)換型,其速度較快、精度較高、價(jià)格適中,因而被廣泛采用。 83.A/D轉(zhuǎn)換要經(jīng)過取樣、保持、量化與編碼四個步驟實(shí)現(xiàn)。前兩個步驟在取樣 - 保持電路中完成,后兩個步驟在A/D 轉(zhuǎn)換器中完成。在對模擬信號進(jìn)行取樣時,必須滿足采樣定理,取樣脈沖的頻率 fs 必須大于等于輸入模擬信號頻譜中最高頻率分量的 2 倍。這樣才能不失真地恢復(fù)出原來的模擬信號。84.D/A轉(zhuǎn)換器和A/D轉(zhuǎn)換器的分辨率和轉(zhuǎn)換精度都與轉(zhuǎn)換器的位數(shù)有關(guān),位數(shù)越多,分辨率和精度越高。基準(zhǔn)電壓VREF是重要的應(yīng)用參數(shù),要理解基準(zhǔn)電壓的作用,尤其是在A/D轉(zhuǎn)換中,它的值對量化誤差、分辨率都有影響。一般應(yīng)按器件手冊給出的范圍確定VREF值,并且保證輸入的模擬電壓最大值不大于VREF 值。得分評卷人五、分析應(yīng)用題(每小題分,共分)1.秒信號發(fā)生電路秒信號發(fā)生電路產(chǎn)生1Hz的時間基準(zhǔn)信號,數(shù)字鐘大多采用32768(215)Hz石英晶體振蕩器,經(jīng)過15級二分頻,獲得1Hz的秒脈沖,秒脈沖發(fā)生器電路如圖6-36所示。圖6-36 秒脈沖發(fā)生器該電路主要應(yīng)用CD4060,CD4060是十四級二進(jìn)制計(jì)數(shù)器/分配器/振蕩器,它與外接電阻、電容、石英晶體共同組成215=32768Hz振蕩器,并進(jìn)行14級二分頻,再外加一級D觸發(fā)器(74LS74)二分頻,輸出1Hz的時基秒信號。CD4060的引腳排列如圖所示R1是直流負(fù)反饋電阻,可使CD4060內(nèi)非門電路工作在電壓傳輸特性的過渡區(qū),即線性放大區(qū)。R1的阻值可在幾兆歐到幾十兆歐之間選擇,一般取22M,C1、C2起穩(wěn)定振蕩頻率作用,其中,C2是微調(diào)電容,可將振蕩器的頻率調(diào)整到精確值。3計(jì)數(shù)器電路計(jì)數(shù)器的秒、分、時的計(jì)數(shù)均由集成電路74LS160實(shí)現(xiàn),其中,秒、分為60進(jìn)制,時為二十四進(jìn)制。(1)秒、分六十進(jìn)制計(jì)數(shù)器秒、分計(jì)數(shù)器完全相同,將一片74LS160設(shè)計(jì)成十進(jìn)制加法計(jì)數(shù)器,另一片設(shè)計(jì)成六進(jìn)制加法計(jì)數(shù)器,當(dāng)計(jì)數(shù)到59時,再來一個脈沖變成00,然后再重新開始計(jì)數(shù)。如圖6-37所示。圖6-37 六十進(jìn)制計(jì)數(shù)器(2)時進(jìn)制數(shù)為二十四進(jìn)制計(jì)數(shù)器。如圖6-38所示。圖6-38 24進(jìn)制計(jì)數(shù)器4.電路如圖所示,由555定時器組成(1)請問此電路的名字?多諧振蕩器 單穩(wěn)態(tài)觸發(fā)器(2)計(jì)算它的頻率f?T=0.7( R1+R2 )C 定時時間為TW=RC ln3=1.1 RC得分評卷人六、綜合設(shè)計(jì)題(每小題分,共分)1. 用基本集成門電路設(shè)計(jì)制作三人表決器,3人中至少有2人同意,提案通過,否則提案不通過。當(dāng)表決某項(xiàng)提案時,同意則按下對應(yīng)的開關(guān),不同意則不按。表決結(jié)果用LED燈顯示,如果燈亮,則提案通過,不通過LED燈不亮。根據(jù)項(xiàng)目要求,設(shè)計(jì)一個三人少數(shù)服從多數(shù)的表決組合邏輯電路。設(shè)計(jì)制作步驟如下:(1)分析設(shè)計(jì)要求。設(shè)三人為A、B、C,同意為1,不同意為0;表決為Y,有2人或2人以上同意,表決通過,通過為1,否決為0。因此,A、B、C為輸入量,Y為輸出量。(2) 列出真值表,如表2-7所示。(1分)序號輸入端輸出端01ABCY0200003001040100501106100071010811009111(3) 寫出最小項(xiàng)表達(dá)式 (4)化簡邏輯表達(dá)式(5)畫邏輯電路圖,可用與非門與非門集成電路來完成,也可用譯碼器和門電路來完成。(2分)將上述與或表達(dá)式Y(jié)ABBCAC化為與非與非表達(dá)式, ,則邏輯電路可用圖2-18 表示。第 11 頁 共 11 頁

注意事項(xiàng)

本文(《數(shù)字電子技術(shù)》課期末考試復(fù)習(xí)題.doc)為本站會員(s****u)主動上傳,裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!