歡迎來(lái)到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁(yè) 裝配圖網(wǎng) > 資源分類(lèi) > DOC文檔下載  

電子技術(shù)綜合設(shè)計(jì)課程設(shè)計(jì)-多功能數(shù)字鐘.doc

  • 資源ID:116535844       資源大小:624KB        全文頁(yè)數(shù):24頁(yè)
  • 資源格式: DOC        下載積分:20積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開(kāi)放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要20積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫(xiě)的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開(kāi),此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁(yè)到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無(wú)水印,預(yù)覽文檔經(jīng)過(guò)壓縮,下載后原文更清晰。
5、試題試卷類(lèi)文檔,如果標(biāo)題沒(méi)有明確說(shuō)明有答案則都視為沒(méi)有答案,請(qǐng)知曉。

電子技術(shù)綜合設(shè)計(jì)課程設(shè)計(jì)-多功能數(shù)字鐘.doc

第 24頁(yè)電子技術(shù)綜合設(shè)計(jì)姓 名: 學(xué) 號(hào): 專(zhuān) 業(yè): 題 目: 多功能 數(shù)字鐘 專(zhuān) 題: 電子技術(shù)綜合設(shè)計(jì) 設(shè)計(jì)地點(diǎn): 電工電子實(shí)驗(yàn)室 設(shè)計(jì)日期:2011年 月 日至2011年 月 日 成 績(jī): 指導(dǎo)教師: 年 月 電子技術(shù)綜合設(shè)計(jì)任務(wù)書(shū)學(xué)生姓名 專(zhuān)業(yè)年級(jí) 學(xué)號(hào) 設(shè)計(jì)日期:2011 年 月 日 至 2011 年 月 日設(shè)計(jì)專(zhuān)題: 電子技術(shù)綜合設(shè)計(jì)設(shè)計(jì)題目:多功能數(shù)字鐘設(shè)計(jì)內(nèi)容和要求:1. 主要內(nèi)容: 用 CC4518雙四位BCD同步加計(jì)數(shù)器設(shè)計(jì)60秒、60分、24小時(shí)歸0的計(jì)數(shù)電路 用CC4511 七段譯碼驅(qū)動(dòng)/鎖存器及LG5011AH共陰數(shù)碼管設(shè)計(jì)譯碼及顯示電路(數(shù)碼管需加限流電阻) 用555設(shè)計(jì)CP脈沖源 (f=1KH) 具有系統(tǒng)校準(zhǔn)功能2. 整體電路原理圖60秒、60分、24小時(shí)- 計(jì)數(shù)、譯碼、顯示電路3. EWB仿真圖60秒、60分、24小時(shí)- 計(jì)數(shù)、譯碼、顯示電路4. 設(shè)計(jì)原理圖用PROTEL99設(shè)計(jì)原理圖5. 設(shè)計(jì)PCB版圖用PROTEL99設(shè)計(jì)PCB板圖6. 功能擴(kuò)展要求設(shè)計(jì):定點(diǎn)報(bào)時(shí)功能 12小時(shí)歸1計(jì)數(shù)電路指導(dǎo)教師簽字: 年 月 日摘 要數(shù)字鐘是采用數(shù)字電路實(shí)現(xiàn)對(duì)“時(shí)”、“分”、“秒”數(shù)字顯示的計(jì)時(shí)裝置。數(shù)字鐘的精度、穩(wěn)定度遠(yuǎn)遠(yuǎn)超過(guò)老式機(jī)械鐘。在這次設(shè)計(jì)中,我們采用LED數(shù)碼管顯示時(shí)、分、秒,以24小時(shí)計(jì)時(shí)方式。我們先從理論設(shè)計(jì)論證開(kāi)始,先用EWB進(jìn)行數(shù)字鐘的仿真設(shè)計(jì),實(shí)現(xiàn)了用NE555定時(shí)器組成的無(wú)穩(wěn)態(tài)電路產(chǎn)生頻率為1Hz的脈沖,然后再用CC4518實(shí)現(xiàn)了24、60進(jìn)制的計(jì)數(shù),用 CC4511與數(shù)碼管實(shí)現(xiàn)了對(duì)計(jì)數(shù)輸出進(jìn)行譯碼與顯示,最后結(jié)合門(mén)電路完成了主板的計(jì)數(shù)與校準(zhǔn)功能。之后我們根據(jù)EWB中已經(jīng)畫(huà)好的仿真圖用PROTEL99軟件進(jìn)行相應(yīng)的原理圖繪制,在做完封裝及檢查無(wú)誤后生成PCB板,即完成了整個(gè)電路軟件方面的設(shè)計(jì)工作。在軟件設(shè)計(jì)工作結(jié)束后,我們即開(kāi)始硬件的焊接工作。先焊接自帶秒脈沖信號(hào)發(fā)生器以及能實(shí)現(xiàn)60秒、60分、24時(shí)的計(jì)數(shù)、譯碼、顯示功能的主板電路,然后再焊接具有定點(diǎn)報(bào)時(shí)功能的擴(kuò)展板電路。通過(guò)以上過(guò)程,即可完成整個(gè)多功能數(shù)字鐘的軟件及硬件的設(shè)計(jì)工作。關(guān)鍵詞:數(shù)字鐘 進(jìn)制 CC4518計(jì)數(shù)器 CC4511譯碼顯示目 錄第一章 緒論1.1數(shù)字電子鐘的背景61.2數(shù)字電子鐘的意義61.3數(shù)字電子鐘的應(yīng)用6第二章 數(shù)字鐘的基本原理2.1器件要求72.2系統(tǒng)工作原理72.3實(shí)現(xiàn)功能8第三章 數(shù)字鐘的設(shè)計(jì) 3.1方案確定9 3.2秒脈沖發(fā)生器 3.2.1 555構(gòu)成的多諧震蕩器93.2.2 555構(gòu)成的多諧震蕩器電路圖103.3秒、分、小時(shí)計(jì)數(shù)電路3.3.1 cc4518功能介紹 10 3.3.2 cc4518構(gòu)成60、24進(jìn)制計(jì)數(shù)單元電路原理11 3.4校時(shí)電路12 3.5擴(kuò)展功能3.5.1鬧時(shí)功能133.5.2定時(shí)報(bào)時(shí)電路13 3.6系統(tǒng)整體仿真圖 3.6.1元件清單(見(jiàn)附1)17 3.6.2系統(tǒng)整體仿真圖(見(jiàn)附2)18 3.6.3設(shè)計(jì)原理圖(見(jiàn)附3)19 3.6.4設(shè)計(jì)PCB版圖(見(jiàn)附4、5) 20 3.6.5 實(shí)際焊接的印刷電路板元件分布圖(見(jiàn)附6)22第四章 性能調(diào)試 4.1系統(tǒng)性能測(cè)試與功能說(shuō)明15 4.2軟件調(diào)試問(wèn)題及解決15總結(jié)16第一章 緒論1.1數(shù)字電子鐘的背景 20世紀(jì)末,電子技術(shù)獲得了飛速的發(fā)展,在其推動(dòng)下,現(xiàn)代電子產(chǎn)品幾乎滲透了社會(huì)的各個(gè)領(lǐng)域,有力地推動(dòng)了社會(huì)生產(chǎn)力的發(fā)展和社會(huì)信息化程度的提高,同時(shí)也使現(xiàn)代電子產(chǎn)品性能進(jìn)一步提高,產(chǎn)品更新?lián)Q代的節(jié)奏也越來(lái)越快。 時(shí)間對(duì)人們來(lái)說(shuō)總是那么寶貴,工作的忙碌性和繁雜性容易使人忘記當(dāng)前的時(shí)間。忘記了要做的事情,當(dāng)事情不是很重要的時(shí)候,這種遺忘無(wú)傷大雅。但是,一旦重要事情,一時(shí)的耽誤可能釀成大禍。目前,單片機(jī)正朝著高性能和多品種方向發(fā)展趨勢(shì)將是進(jìn)一步向著CMOS化、低功耗、小體積、大容量、高性能、低價(jià)格和外圍電路內(nèi)裝化等幾個(gè)方面發(fā)展。下面是單片機(jī)的主要發(fā)展趨勢(shì)。單片機(jī)應(yīng)用的重要意義還在于,它從根本上改變了傳統(tǒng)的控制系統(tǒng)設(shè)計(jì)思想和設(shè)計(jì)方法。從前必須由模擬電路或數(shù)字電路實(shí)現(xiàn)的大部分功能,現(xiàn)在已能用單片機(jī)通過(guò)軟件方法來(lái)實(shí)現(xiàn)了。這種軟件代替硬件的控制技術(shù)也稱(chēng)為微控制技術(shù),是傳統(tǒng)控制技術(shù)的一次革命。單片機(jī)模塊中最常見(jiàn)的是數(shù)字鐘,數(shù)字鐘是一種用數(shù)字電路技術(shù)實(shí)現(xiàn)時(shí)、分、秒計(jì)時(shí)的裝置,與機(jī)械式時(shí)鐘相比具有更高的準(zhǔn)確性和直觀性,且無(wú)機(jī)械裝置,具有更更長(zhǎng)的使用壽命,因此得到了廣泛的使用。1.2數(shù)字電子鐘的意義數(shù)字鐘是采用數(shù)字電路實(shí)現(xiàn)對(duì).時(shí),分,秒.數(shù)字顯示的計(jì)時(shí)裝置,廣泛用于個(gè)人家庭,車(chē)站, 碼頭辦公室等公共場(chǎng)所,成為人們?nèi)粘I钪胁豢缮俚谋匦杵?由于數(shù)字集成電路的發(fā)展和石英晶體振蕩器的廣泛應(yīng)用,使得數(shù)字鐘的精度,遠(yuǎn)遠(yuǎn)超過(guò)老式鐘表, 鐘表的數(shù)字化給人們生產(chǎn)生活帶來(lái)了極大的方便,而且大大地?cái)U(kuò)展了鐘表原先的報(bào)時(shí)功能。諸如定時(shí)自動(dòng)報(bào)警、按時(shí)自動(dòng)打鈴、時(shí)間程序自動(dòng)控制、定時(shí)廣播、自動(dòng)起閉路燈、定時(shí)開(kāi)關(guān)烘箱、通斷動(dòng)力設(shè)備、甚至各種定時(shí)電氣的自動(dòng)啟用等,所有這些,都是以鐘表數(shù)字化為基礎(chǔ)的。因此,研究數(shù)字鐘及擴(kuò)大其應(yīng)用,有著非?,F(xiàn)實(shí)的意義。1.3數(shù)字電子鐘的應(yīng)用 數(shù)字鐘已成為人們?nèi)粘I钪校罕夭豢缮俚谋匦杵?,廣泛用于個(gè)人家庭以及車(chē)站、碼頭、劇場(chǎng)、辦公室等公共場(chǎng)所,給人們的生活、學(xué)習(xí)、工作、娛樂(lè)帶來(lái)極大的方便。由于數(shù)字集成電路技術(shù)的發(fā)展和采用了先進(jìn)的石英技術(shù),使數(shù)字鐘具有走時(shí)準(zhǔn)確、性能穩(wěn)定、攜帶方便等優(yōu)點(diǎn),它還用于計(jì)時(shí)、自動(dòng)報(bào)時(shí)及自動(dòng)控制等各個(gè)領(lǐng)域。 第二章 數(shù)字鐘的基本原理2.1器件要求 計(jì)數(shù)電路:用CC4518計(jì)數(shù)器譯碼電路:用CC4511譯碼顯示電路:用LG5011AH共陰數(shù)碼管秒脈沖信號(hào)發(fā)生器:用555多諧振蕩器(用發(fā)光二極管作輸出顯示)2.2 系統(tǒng)工作原理工作原理 : 數(shù)字鐘電路主要由譯碼顯示器、校準(zhǔn)電路、報(bào)時(shí)電路、時(shí)計(jì)數(shù)、分計(jì)數(shù)、秒計(jì)數(shù)器,振蕩電路和單次脈沖產(chǎn)生電路組成。其中電路系統(tǒng)由秒脈沖信號(hào)發(fā)生器、“時(shí)”、“分”、“秒”計(jì)數(shù)器、譯碼器及顯示器、校準(zhǔn)電路、整點(diǎn)報(bào)時(shí)電路組成。秒脈沖信號(hào)產(chǎn)生器是整個(gè)系統(tǒng)的時(shí)基信號(hào),它直接決定計(jì)時(shí)系統(tǒng)的精度,一般用石英晶體振蕩器加分頻器來(lái)實(shí)現(xiàn),將標(biāo)準(zhǔn)秒信號(hào)送入“秒計(jì)數(shù)器”,“秒計(jì)數(shù)器”采用60進(jìn)制計(jì)數(shù)器,每累計(jì)60秒發(fā)出一個(gè)“分脈沖”信號(hào),該信號(hào)將作為“分計(jì)數(shù)器”的時(shí)鐘脈沖。“分計(jì)數(shù)器”也采用60進(jìn)制計(jì)數(shù)器,每累計(jì)60分鐘,發(fā)出一個(gè)時(shí)脈沖信號(hào),該信號(hào)將被送到時(shí)計(jì)數(shù)器。時(shí)計(jì)數(shù)器采用24進(jìn)制計(jì)時(shí)器,可實(shí)現(xiàn)對(duì)一天24小時(shí)的計(jì)時(shí)。譯碼顯示電路將“時(shí)”、“分”、“秒”計(jì)數(shù)器的輸出狀態(tài)通過(guò)顯示驅(qū)動(dòng)電路,七段顯示譯碼器譯碼,在經(jīng)過(guò)六位LED七段顯示器顯示出來(lái)。整點(diǎn)報(bào)時(shí)電路時(shí)根據(jù)計(jì)時(shí)系統(tǒng)的輸出狀態(tài)產(chǎn)生一個(gè)脈沖信號(hào),然后去觸發(fā)一音頻發(fā)生器實(shí)現(xiàn)低、高音報(bào)時(shí)。校準(zhǔn)電路時(shí)用來(lái)對(duì)“時(shí)”、“分”、“秒”顯示數(shù)字進(jìn)行校對(duì)調(diào)整的。如圖1所示多功能數(shù)字鐘的組成框圖。 圖2-2 多功能數(shù)字鐘的組成框圖2.3實(shí)現(xiàn)功能采用51單片機(jī)系列AT89S51.對(duì)時(shí)鐘進(jìn)行控制單片機(jī)由單塊集成電路芯片構(gòu)成,內(nèi)部包含有計(jì)算機(jī)的基本功能部件:中央處理器、存儲(chǔ)器和I/O接口電路等。因此,單片機(jī)只需要和適當(dāng)?shù)能浖巴獠吭O(shè)備相結(jié)合,便可成為一個(gè)單片機(jī)控制系統(tǒng)。(1)開(kāi)機(jī)時(shí),顯示12:00:00的時(shí)間開(kāi)始計(jì)時(shí);(2)P1.0/AD0控制“秒”的調(diào)整,每按一次加1秒; (3)P1.1/AD1控制“分”的調(diào)整,每按一次加1分; (4)P1.2/AD2控制“時(shí)”的調(diào)整,每按一次加1個(gè)小時(shí);第三節(jié) 數(shù)字鐘的設(shè)計(jì)3.1方案確定圖3-1方案確定思想構(gòu)架3.2秒脈沖發(fā)生器3.2.1 555構(gòu)成的多諧震蕩器 555芯片介紹1 GND 2觸發(fā) 3輸出 4復(fù)位 5控制電壓 6門(mén)限(閾值) 7放電 8 Vcc3.2.2 555構(gòu)成多諧震蕩器電路圖圖2 555構(gòu)成多諧震蕩器電路圖 參數(shù)計(jì)算: f=1HZ R1=428.5K R2=500K C1=0.01F C2=1F T=1s 3.3秒、分、小時(shí)計(jì)數(shù)電路3.3.1 CC4518功能介紹用CC4518構(gòu)成60、24進(jìn)制計(jì)數(shù)電路,然后級(jí)聯(lián)組成時(shí)、分、秒整體計(jì)數(shù)電路圖3.3.1 CC4518管腳圖 CLENR功能10加計(jì)數(shù)00加計(jì)數(shù)0不變0不變00不變10不變1Q3Q0=04518真值表3.3. 2 CC4518構(gòu)成60、24進(jìn)制計(jì)數(shù)單元電路原理圖3.3.2 60進(jìn)制計(jì)數(shù)單元電路圖工作原理根據(jù)4518的功能表,當(dāng)CP0處于上升沿、CP1A處于0時(shí)和清零端MRA處于0時(shí),4518能正常從零開(kāi)始計(jì)數(shù)。當(dāng)?shù)臀幌蚋呶贿M(jìn)位時(shí),低位向高位的進(jìn)位脈沖,用03A的下降沿接CP1B端,同時(shí)用有2個(gè)管腳與門(mén)連接00A、03A,輸出端接CP0B端,這樣當(dāng)?shù)臀坏?時(shí),能自動(dòng)向高位進(jìn)1。當(dāng)計(jì)數(shù)器到59時(shí),用有2個(gè)管腳的與門(mén)連接高位的01B和02B為下一個(gè)4518提供脈沖,同時(shí)把輸出端接清零端MRA,使得4518計(jì)數(shù)到59自動(dòng)清零,從而使下一個(gè)輸出為00。 圖3.3.1 24進(jìn)制計(jì)數(shù)單元電路圖工作原理根據(jù)4518的功能表,當(dāng)CP0處于上升沿、CP1A處于0時(shí)和清零端MRA處于0時(shí),4518能正常從零開(kāi)始計(jì)數(shù)。當(dāng)?shù)臀幌蚋呶贿M(jìn)位時(shí),低位向高位的進(jìn)位脈沖,用03A的下降沿接CP1B端,同時(shí)用有2個(gè)管腳與門(mén)連接00A、03A,輸出端接CP0B端,這樣當(dāng)?shù)臀坏?時(shí),能自動(dòng)向高位進(jìn)1。當(dāng)計(jì)數(shù)器到24時(shí),用有3個(gè)管腳的與門(mén)連接低位的00A、02A和高位的01B,輸出端接清零端MRA,使得4518計(jì)數(shù)到24自動(dòng)清零,從而使下一個(gè)輸出為00.3.4 校時(shí)電路S1S2功能11計(jì)數(shù)10校分01校時(shí)校時(shí)脈沖功能1HZ快校時(shí)單次脈沖慢校時(shí) 3.5擴(kuò)展功能3.5.1鬧時(shí)功能圖2.6.1鬧時(shí)功能電路工作原理:例如:上午7點(diǎn)59分發(fā)出鬧時(shí)信號(hào),持續(xù)1分鐘。7點(diǎn)59分的對(duì)應(yīng)的數(shù)字鐘的狀態(tài)分別為0111 十個(gè)位/0101 分十位/1001 分個(gè)位 鬧時(shí)控制信號(hào)K=(Q2Q1Q0)(Q2Q0)(Q3Q0)=13.5.2定時(shí)報(bào)時(shí)電路圖2.6.2定時(shí)報(bào)時(shí)原理圖工作原理:74LS273是一種帶清除功能的D觸發(fā)器, 1D8D為數(shù)據(jù)輸入端,1Q8Q為數(shù)據(jù)輸出端,正脈沖觸發(fā),低電平清除,而74LS266里面是四個(gè)異或非門(mén),266的一端連著CC4518的輸出管腳,由圖2.6.2可知鬧鐘定時(shí)可以精確到秒的十位,其工作原理為當(dāng)先將時(shí)鐘調(diào)制到用戶想要的點(diǎn)數(shù),然后按下開(kāi)關(guān),74LS373會(huì)自動(dòng)鎖存住這一時(shí)間,而當(dāng)時(shí)鐘下一次運(yùn)行至這一時(shí)間時(shí),三個(gè)CC4518會(huì)發(fā)出高電平信號(hào),連同的74LS373也會(huì)發(fā)出高電平信號(hào),通過(guò)266的與或非門(mén)后到達(dá)74LS21,而74LS21中全是與門(mén),則最終輸出高電平,經(jīng)過(guò)三極管進(jìn)行數(shù)模信號(hào)轉(zhuǎn)換后到達(dá)揚(yáng)聲器發(fā)出聲音。3.6系統(tǒng)整體仿真圖 3.6.1元件清單(附錄1) 3.6.2系統(tǒng)整體仿真圖(附錄2) 3.6.3設(shè)計(jì)原理圖(附錄3) 3.6.4設(shè)計(jì)pcb版圖(附錄4、附錄5) 3.6.5實(shí)際焊接的印刷電路板元件分布圖(附錄6)第四章 性能測(cè)試4.1 系統(tǒng)性能測(cè)試與功能說(shuō)明走時(shí):默認(rèn)為走時(shí)狀態(tài),按24小時(shí)制分別顯示“時(shí)時(shí)-分分-秒秒”,有2個(gè)“-”動(dòng)態(tài)顯示,時(shí)間會(huì)按實(shí)際時(shí)間以秒為最少單位變化。走時(shí)調(diào)整:按ksec對(duì)秒進(jìn)行調(diào)整,按一下加一秒;按kmin對(duì)分進(jìn)行調(diào)整,按一下加一分;按khour對(duì)時(shí)進(jìn)行調(diào)整,按一下加一小時(shí),從而達(dá)到快速設(shè)定時(shí)間的目的。4.2軟件調(diào)試問(wèn)題及解決 4.2.1系統(tǒng)軟件仿真、硬件安裝、調(diào)試遇到的問(wèn)題1、原來(lái)我是和同學(xué)用的同一種方法,所以在EWB模擬仿真中,出現(xiàn)數(shù)碼管初始不顯示0狀態(tài),而是從1開(kāi)始2、 在portal中進(jìn)行電氣檢查的時(shí)候,發(fā)現(xiàn)自己線路中又有許多斷點(diǎn)3、在生成PCB板的時(shí)候會(huì)產(chǎn)生封裝錯(cuò)誤4、由于副板要焊接的元件太多,所以線路很密,會(huì)有很多線把焊接孔堵住,而且完成主板和副版的焊接后,用排線將其連接后主板數(shù)碼管的分十位不顯示進(jìn)位。 4.2.2記錄問(wèn)題現(xiàn)象、分析存在的原因1、在EWB中的數(shù)碼管顯示問(wèn)題是由于脈沖源會(huì)提前一個(gè)周期到達(dá)4518是軟件自身的問(wèn)題缺陷??梢杂镁чl管和D觸發(fā)器的延遲作用進(jìn)行校正,但我是并不是用這兩種方法,而是從芯片本身的連接上上來(lái)解決這個(gè)問(wèn)題。2、 有些是元件不用的管腳應(yīng)該要標(biāo)記,以便讓軟件知道,還有一些是因?yàn)樽约哼B線的時(shí)候沒(méi)有連好。3、生成PCB板時(shí)產(chǎn)生的封裝錯(cuò)誤一、自己填寫(xiě)封裝時(shí)填的就是錯(cuò)誤的。二、可能是自己做的封裝沒(méi)有導(dǎo)入library庫(kù)里。三、library庫(kù)里面的封裝沒(méi)有導(dǎo)出應(yīng)用。四、也可能是軟件自身問(wèn)題DIP-X中間的連字符的取舍問(wèn)題。4、在焊接前沒(méi)能布置好線的排列,導(dǎo)致一些線穿過(guò)焊接孔導(dǎo)致堵塞;數(shù)碼管不進(jìn)位可能是焊接時(shí)不小心造成短路也可能是芯片問(wèn)題。總結(jié)我在這一次數(shù)字電子鐘的設(shè)計(jì)過(guò)程中,很是受益匪淺。通過(guò)對(duì)自己在大學(xué)四年多的時(shí)間里所學(xué)的知識(shí)的回顧,并充分發(fā)揮對(duì)所學(xué)知識(shí)的理解和對(duì)畢業(yè)設(shè)計(jì)的思考及書(shū)面表達(dá)能力,最終完成了。這為我們今后進(jìn)一步深化學(xué)習(xí),積累了一定寶貴的經(jīng)驗(yàn)。撰寫(xiě)論文的過(guò)程也是專(zhuān)業(yè)知識(shí)的學(xué)習(xí)過(guò)程,它使我們運(yùn)用已有的專(zhuān)業(yè)基礎(chǔ)知識(shí),對(duì)其進(jìn)行設(shè)計(jì),分析和解決一個(gè)理論問(wèn)題或?qū)嶋H問(wèn)題,把知識(shí)轉(zhuǎn)化為能力的實(shí)際訓(xùn)練。培養(yǎng)了我們運(yùn)用所學(xué)知識(shí)解決實(shí)際問(wèn)題的能力。通過(guò)這次設(shè)計(jì)我們發(fā)現(xiàn),只有理論水平提高了;才能夠?qū)⒄n本知識(shí)與實(shí)踐相整合,理論知識(shí)服務(wù)于教學(xué)實(shí)踐,以增強(qiáng)自己的動(dòng)手能力。這個(gè)實(shí)驗(yàn)十分有意義 我獲得很深刻的經(jīng)驗(yàn)。通過(guò)這次課程設(shè)計(jì),我們知道了理論和實(shí)際的距離,也知道了理論和實(shí)際想結(jié)合的重要性,也從中得知了很多書(shū)本上無(wú)法得知的知識(shí)。我們的學(xué)習(xí)不但要立足于書(shū)本,以解決理論和實(shí)際教學(xué)中的實(shí)際問(wèn)題為目的,還要以實(shí)踐相結(jié)合,理論問(wèn)題即實(shí)踐課題,解決問(wèn)題即課程研究,學(xué)生自己就是一個(gè)專(zhuān)家,通過(guò)自己的手來(lái)解決問(wèn)題比用腦子解決問(wèn)題更加深刻。學(xué)習(xí)就應(yīng)該采取理論與實(shí)踐結(jié)合的方式,理論的問(wèn)題,也就是實(shí)踐性的課題。這種做法既有助于完成理論知識(shí)的鞏固,又有助于帶動(dòng)實(shí)踐,解決實(shí)際問(wèn)題,加強(qiáng)我們的動(dòng)手能力和解決問(wèn)題的能力。附錄附錄1: 主 板序號(hào)型號(hào)參數(shù)數(shù)量(個(gè))序號(hào)型號(hào)參數(shù)數(shù)量(個(gè))1集成芯片CC4518311414862CC4511612電解電容100uF16V53CC4060113電容獨(dú)石104124CC4040114電阻1/4W1M45芯片座16P1115220K56數(shù)碼管LG5011AH616470427晶振3276811722028三極管PNP(1015)118微動(dòng)開(kāi)關(guān)6*6*649發(fā)光二極管5419穩(wěn)壓電源座3.5空心座110二極管IN4007420電路板擴(kuò) 展 板18D鎖存器74LS27337復(fù)位開(kāi)關(guān)12同或門(mén)74LS26658自鎖開(kāi)關(guān)134輸入2與門(mén)74 LS2149喇叭14芯片座20P310音樂(lè)片1514P911灰排線20P6三極管9013212電路板1附錄2:附錄3:數(shù)字鐘電路設(shè)計(jì)圖附錄4 :PCB板反面圖附錄5 PCB板布線圖附錄6參考文獻(xiàn)1 曹?chē)?guó)清.數(shù)字電路與邏輯設(shè)計(jì).徐州:中國(guó)礦業(yè)大學(xué)出版社,19982 謝自美.電子線路設(shè)計(jì)實(shí)驗(yàn)測(cè)試.武漢:華中科技大學(xué)出版社,20003 康華光.電子技術(shù)基礎(chǔ)數(shù)字部分(第四版).北京:高等教育出版社,1998年第四版4 趙偉軍. PROTEL99SE教程.北京:人民郵電出版社,2004(第一版):47-54

注意事項(xiàng)

本文(電子技術(shù)綜合設(shè)計(jì)課程設(shè)計(jì)-多功能數(shù)字鐘.doc)為本站會(huì)員(good****022)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!