歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

病房呼叫系統(tǒng)課程設(shè)計(jì)實(shí)驗(yàn)報(bào)告.doc

  • 資源ID:116525171       資源大?。?span id="g00rnlb" class="font-tahoma">1.21MB        全文頁數(shù):14頁
  • 資源格式: DOC        下載積分:13積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要13積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說明有答案則都視為沒有答案,請(qǐng)知曉。

病房呼叫系統(tǒng)課程設(shè)計(jì)實(shí)驗(yàn)報(bào)告.doc

安徽科技大學(xué)安徽科技大學(xué)數(shù)字電子技術(shù)課程設(shè)計(jì)題 目: 病房呼叫系統(tǒng) 姓 名: 湯智 專 業(yè): 電子科學(xué)與技術(shù) 班 級(jí): 112 學(xué) 號(hào): 1886110220 指導(dǎo)教師: 2013年06月15日安徽科技大學(xué)理學(xué)院病房呼叫系統(tǒng)一 課程設(shè)計(jì)題目與實(shí)習(xí)目的課程設(shè)計(jì)題目:病房呼叫系統(tǒng)實(shí)習(xí)目的:1掌握數(shù)字電路課程所學(xué)的理論知識(shí)以及數(shù)字電子技術(shù)在生活中的應(yīng)用。2熟悉幾種常用集成數(shù)字芯片的功能和應(yīng)用,并掌握其工作原理,進(jìn)一步學(xué)會(huì)使用其進(jìn)行電路設(shè)計(jì)。3進(jìn)一步深化對(duì)電子技術(shù)的了解,強(qiáng)化實(shí)際動(dòng)手操作能力以及發(fā)現(xiàn)問題解決問題的方法。4培養(yǎng)認(rèn)真嚴(yán)謹(jǐn)?shù)墓ぷ髯黠L(fēng)和實(shí)事求是的工作態(tài)度。5數(shù)電課程實(shí)驗(yàn)是大學(xué)中為我們提供的一次動(dòng)手實(shí)踐的機(jī)會(huì),增強(qiáng)實(shí)際動(dòng)手操作與研發(fā)的能力。二 任務(wù)和要求要求:1用15個(gè)開關(guān)模擬5個(gè)病房的呼叫輸入信號(hào),5號(hào)優(yōu)先級(jí)最高;15優(yōu)先級(jí)依次升高;2用一個(gè)數(shù)碼管顯示呼叫信號(hào)的號(hào)碼;沒信號(hào)呼叫時(shí)顯示0;又多個(gè)信號(hào)呼叫時(shí),顯示優(yōu)先級(jí)最高的呼叫號(hào)(其它呼叫號(hào)用指示燈顯示);3凡有呼叫發(fā)出5秒的呼叫聲;4對(duì)低優(yōu)先級(jí)的呼叫進(jìn)行存儲(chǔ),處理完高優(yōu)先級(jí)的呼叫,再進(jìn)行低優(yōu)先級(jí)呼叫的處理(附加)。三 總體方案的選擇病房呼叫系統(tǒng)整體思路為:l LED指示燈顯示病房模塊l 數(shù)碼管優(yōu)先顯示重病房模塊l 蜂鳴器報(bào)警5秒模塊首先由病人按下呼叫器開關(guān),即邏輯數(shù)據(jù)開關(guān),(1)進(jìn)入第一模塊,通過LED指示燈顯示病人呼叫的病房,(2)進(jìn)入第二模塊,傳輸?shù)揭粋€(gè)編碼器進(jìn)行編碼,我這里選用的是74LS148,8線3線優(yōu)先編碼器,其低電平為有效輸入;然后再進(jìn)行譯碼輸出,我選用的是74LS48,為共陰極7段譯碼/驅(qū)動(dòng)器,74LS148輸出為反碼輸出,遂在74LS48前加上反向器進(jìn)行輸入;再用共陰極七段數(shù)碼管進(jìn)行輸出。(3)進(jìn)入第三模塊,通過一個(gè)與非門對(duì)信號(hào)進(jìn)行處理,連接周期T為10秒,占空比為50%的方波發(fā)生器與信號(hào)一同通過與門,控制輸出信號(hào)可以持續(xù)5秒,使蜂鳴器呼叫5秒。流程圖如下:邏輯數(shù)據(jù)開關(guān)與非門處理信號(hào)用編碼器 74LS148進(jìn)行編碼周期T為10秒,占空比50%的方波LED指示燈顯示呼叫病房反相器用譯碼器74LS48進(jìn)行譯碼與門用7段數(shù)碼管顯示重病房蜂鳴器項(xiàng)目整體設(shè)計(jì)思路與流程四 單元電路的設(shè)計(jì)1. 設(shè)計(jì)所使用的元件及工具:(1) LED指示燈模塊LED顯示燈- 5個(gè);保護(hù)電阻R=500-5個(gè);(2) 數(shù)碼管顯示模塊編碼器74LS148D-1個(gè);反相器74LS04D-3個(gè);譯碼器74LS48D-1個(gè);(3) 蜂鳴器呼叫模塊與非門74LS30D -1個(gè);方波發(fā)生器 -1個(gè);與門7408D -1個(gè);蜂鳴器 -1個(gè); 另外:邏輯雙擲開關(guān)5個(gè);電源VCC、接地GND、導(dǎo)線若干。2. 各個(gè)單元電路(1) LED指示燈電路電路圖如下 圖1:圖1(2) 數(shù)碼管顯示模塊電路圖2(3) 蜂鳴器呼叫5秒模塊電路圖33. 總電路圖圖4五 電路測(cè)試(1)當(dāng)單獨(dú)A、B、C、D、E呼叫時(shí),電路LED對(duì)應(yīng)的亮,數(shù)碼管顯示相應(yīng)的病房。電路測(cè)試圖如下:圖5按鍵J1按下后,指示燈LED1 亮,數(shù)碼管顯示病房1;圖6按鍵J5按下后,LED5亮,數(shù)碼管顯示病房5。(2)當(dāng)多個(gè)病房同時(shí)呼叫時(shí),LED顯示呼叫病房,數(shù)碼管優(yōu)先顯示重病房。測(cè)試電路如下:圖7有截圖可以看出,1、2、4、5病房同時(shí)呼叫,LED1、LED2、LED4、LED5亮,數(shù)碼管優(yōu)先顯示重病房5。當(dāng)病房5通知呼叫停止時(shí),數(shù)碼管顯示下一個(gè)優(yōu)先級(jí)高點(diǎn)的病房,測(cè)試電路如下圖圖8(3) 蜂鳴器呼叫5秒測(cè)試原理:用方波發(fā)生器產(chǎn)生周期T=10s的方波,利用與門產(chǎn)生間斷5s的信號(hào);由于頻率f=0.1HZ時(shí),示波器很難測(cè)試蜂鳴器呼叫周期,實(shí)驗(yàn)中為了便于觀察,取f=100HZ測(cè)試。當(dāng)五個(gè)開關(guān)只要有開關(guān)按下,與非門會(huì)輸出高電平,按照設(shè)計(jì)要求,蜂鳴器要呼叫5秒,所以把與非門輸出信號(hào)與方波信號(hào)一起通過與門,從而控制呼叫5秒,信號(hào)波形如圖示波器所示;圖9高電平測(cè)試數(shù)據(jù)為:圖10頻率為100HZ時(shí),測(cè)得高電平時(shí)間為:4.924ms,有公式T=,可計(jì)算出,f=0.1HZ時(shí),T=4.924s,即可控制實(shí)現(xiàn)蜂鳴器呼叫5秒。六 電路設(shè)計(jì)、調(diào)試過程中發(fā)生的問題及解決的方法。蜂鳴器呼叫電路設(shè)計(jì)時(shí),遇到了控制呼叫5秒的一個(gè)問題,怎樣設(shè)計(jì)使產(chǎn)生5秒信號(hào),在老師的指點(diǎn)下,采取與門和方波信號(hào),方波信號(hào)可以使用555時(shí)基電路形成,查閱相關(guān)資料,得到555時(shí)基電路的周期計(jì)算公式,T=t+t,t=0.7(R+R)C,t=0.7RC。實(shí)驗(yàn)中為了數(shù)據(jù)的精確,采用方波發(fā)生器代替555時(shí)基電路。七 分析和總結(jié)。本次課程設(shè)計(jì)持續(xù)了將近一個(gè)星期的時(shí)間,在設(shè)計(jì)之前我根據(jù)系統(tǒng)設(shè)計(jì)的要求,先對(duì)每個(gè)模塊都仔細(xì)的設(shè)計(jì)分析,再對(duì)每個(gè)模塊進(jìn)行耦合,排除相互干擾,得到整體的系統(tǒng)的電路圖,本次課程設(shè)計(jì)可以總結(jié)為以下的幾點(diǎn)收獲:1、進(jìn)一步鞏固數(shù)字電子技術(shù)知識(shí)這次課程設(shè)計(jì)主要是運(yùn)用數(shù)字電路邏輯設(shè)計(jì)的一些相關(guān)知識(shí),在整個(gè)設(shè)計(jì)過程中,都離不開對(duì)數(shù)字電路課程知識(shí)的再學(xué)習(xí)。在開始設(shè)計(jì)初,我將數(shù)字電子技術(shù)基礎(chǔ)實(shí)驗(yàn)教程相關(guān)部分仔仔細(xì)細(xì)復(fù)習(xí)了,并且自學(xué)了一些元件的使用,這樣深入的了解,讓我對(duì)系設(shè)計(jì)的理解更加透徹,對(duì)后來的快速設(shè)計(jì)起了很好的鋪墊作用。2、大大地提高了實(shí)踐動(dòng)手操作能力課程設(shè)計(jì),通過選擇的題目,根據(jù)要求,運(yùn)用所學(xué)知識(shí)將其付諸實(shí)踐來完成。這次課程設(shè)計(jì)雖然沒有實(shí)際操作制作實(shí)物,但通過仿真,大大擴(kuò)展了我們的思維,提高了用理論知識(shí)解決實(shí)際問題的能力,最關(guān)鍵的是發(fā)現(xiàn)問題、思考問題、解決問題的方法。這并不是在課堂上的單純聽懂,或者課后看書過程中的深入理解,這需要的是一種理論聯(lián)系實(shí)踐的能力。理論知識(shí)往往都是在一些理想狀態(tài)下的假設(shè)論,而實(shí)際的動(dòng)手操作則完全不同,需要考慮實(shí)際中的很多問題。有些知識(shí)在理論上可能完全沒錯(cuò)但到了實(shí)際中則不然。3、團(tuán)隊(duì)合作、互相學(xué)習(xí)、共同研發(fā)最初和Partner沒思路的時(shí)候,特別的著急,后來,我查看數(shù)電實(shí)驗(yàn)教程,想到了設(shè)計(jì)思路,于是同伴一起商量,共同探討,對(duì)于不同模塊,我們有時(shí)設(shè)計(jì)思路不一樣,但不影響結(jié)果,遂各自按照各自思路進(jìn)行下。在設(shè)計(jì)時(shí)和同學(xué)相互交流各自的想法也是很重要的,不同的人對(duì)問題的看法總有差異,我們可以從交流中獲得不同的思路,他人的設(shè)計(jì)也可能有比你出色的地方,很好的借鑒,并在同伴的商討中選擇最優(yōu)方案最終一定會(huì)得到最好的設(shè)計(jì)方法??偨Y(jié):本次試驗(yàn)中包括方波發(fā)生器,基本電路我用了一片74LS148、一片74LS48、七段數(shù)碼管、蜂鳴器、若干與門,非門,與非門等。是目前我想出的用最少的器件,最簡單的布線來實(shí)現(xiàn)所要求的功能。從電路圖的設(shè)計(jì)、實(shí)現(xiàn)、仿真、實(shí)驗(yàn)報(bào)告,都是自己思考和動(dòng)手。進(jìn)一步熟悉了MMultisim軟件的操作,用所學(xué)習(xí)過的芯片設(shè)計(jì)電路,并用仿真來實(shí)現(xiàn),實(shí)現(xiàn)的過程中排錯(cuò)、檢查的能力也得到鍛煉??偠灾?,好好利用了本次課程設(shè)計(jì)的機(jī)會(huì),努力按要求完成了任務(wù),提高了自己的綜合思考能力和動(dòng)手實(shí)踐能。八 參考文獻(xiàn) -高等教育出版社安徽科技大學(xué)電子學(xué)教研組 編-安徽科技大學(xué)出版社 編附:部分元件功能詳解1、74LS148功能詳解74LS148是一個(gè)八線-三線優(yōu)先級(jí)編碼器。在優(yōu)先編碼器電路中,允許同時(shí)輸入兩個(gè)以上編碼信號(hào)。不過在設(shè)計(jì)優(yōu)先編碼器時(shí),已經(jīng)將所有的輸入信號(hào)按優(yōu)先順序排了隊(duì)。在同時(shí)存在兩個(gè)或兩個(gè)以上輸入信號(hào)時(shí),優(yōu)先編碼器只按優(yōu)先級(jí)高的輸入信號(hào)編碼,優(yōu)先級(jí)低的信號(hào)則不起作用。如圖所示的是八線-三線編碼器74LS148的慣用符號(hào)及管腳圖引腳圖。74LS148優(yōu)先編碼器為16腳的集成芯片,除電源腳VCC(16)和GND(8)外,其余輸入、輸出腳的作用和腳號(hào)如圖中所標(biāo)。其中D0D7為輸入信號(hào),A2,A1,A0為三位二進(jìn)制編碼輸出信號(hào),EI是使能輸入端,EO是使能輸出端,GS為片優(yōu)先編碼輸出端。有真值表可以得出:當(dāng)使能輸入EI=0、EO=0、GS=0時(shí),允許編碼,在D0D7輸入中,輸入D7優(yōu)先級(jí)最高,其余依次為:D6,D5,D4,D3,D2,D1等級(jí)排列。本片又沒有編碼輸入時(shí)為0。其中GS = 0表示“電路工作,而且有編碼輸入” 。 74LS148優(yōu)先編碼器真值表2、74LS48功能詳解7段顯示譯碼器74LS48是輸出高電平有效的譯碼器,74LS48有實(shí)現(xiàn)7段顯示譯碼器基本功能的輸入(DCBA)和輸出(OAOG)端,此外74LS48還引入了燈測(cè)試輸入端(LT)和動(dòng)態(tài)滅零輸入端(RBI),以及既有輸入功能又有輸出功能的消隱輸入/動(dòng)態(tài)滅零輸出(BI/RBO)端。 如圖所示的是八線-三線編碼器74LS48的慣用符號(hào)及管腳圖引腳圖。 74LS48測(cè)試真值表為:有真值表可得出,只有在滅燈輸入/動(dòng)態(tài)滅燈輸出端BI/RBO=1。試燈輸入LT=1,以及動(dòng)態(tài)滅燈輸入RBI=1時(shí),芯片可以完成碼制轉(zhuǎn)換。第 14 頁 共 14 頁

注意事項(xiàng)

本文(病房呼叫系統(tǒng)課程設(shè)計(jì)實(shí)驗(yàn)報(bào)告.doc)為本站會(huì)員(good****022)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!