歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > PPT文檔下載  

數(shù)據(jù)表示與邏輯運(yùn)算.ppt

  • 資源ID:11536683       資源大小:2.75MB        全文頁數(shù):78頁
  • 資源格式: PPT        下載積分:14.9積分
快捷下載 游客一鍵下載
會員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要14.9積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號,方便查詢和重復(fù)下載(系統(tǒng)自動生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號:
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說明有答案則都視為沒有答案,請知曉。

數(shù)據(jù)表示與邏輯運(yùn)算.ppt

1,計(jì)算機(jī)科學(xué)導(dǎo)論,第3章數(shù)據(jù)表示與邏輯運(yùn)算李建義,2,前言,現(xiàn)代電子計(jì)算機(jī)中的運(yùn)算主要有兩種:算術(shù)運(yùn)算和邏輯運(yùn)算,這些運(yùn)算是由計(jì)算機(jī)內(nèi)部的邏輯部件實(shí)現(xiàn)的,而邏輯部件是通過基本門電路實(shí)現(xiàn)的。利用這些邏輯部件,可以表示和實(shí)現(xiàn)布爾代數(shù)的各種運(yùn)算??紤]到各種信息、指令和數(shù)據(jù)都必須以二進(jìn)制表示,本章將介紹數(shù)據(jù)的二進(jìn)制表示、二進(jìn)制的運(yùn)算以及實(shí)現(xiàn)二進(jìn)制運(yùn)算的基本邏輯部件。,3,主要內(nèi)容,3.1數(shù)制及數(shù)制之間的相互轉(zhuǎn)換3.2編碼3.3-3.4二進(jìn)制運(yùn)算:邏輯運(yùn)算、算術(shù)運(yùn)算3.5基本門電路3.6組合邏輯電路3.7時(shí)序邏輯電路,4,ENIAC的缺點(diǎn),可靠性差,只能穩(wěn)定地工作幾小時(shí);存儲容量?。褐炼嗄艽?0個(gè)字節(jié);采用十進(jìn)制;無程序存儲功能,采用插拔線;功耗大,每小時(shí)150kW。,5,馮諾伊曼思想,二進(jìn)制:用0、1二進(jìn)制碼組成各種信息進(jìn)行計(jì)算。存儲程序工作原理計(jì)算機(jī)史上的里程碑。,JohnvonNeumann19031957,不同進(jìn)制數(shù)之間的轉(zhuǎn)換;小數(shù)點(diǎn)的表示;二進(jìn)制的運(yùn)算;,6,3.1數(shù)制及數(shù)制之間的轉(zhuǎn)換,十進(jìn)制的運(yùn)算,7,3.1數(shù)制及數(shù)制之間的轉(zhuǎn)換,使用固定個(gè)數(shù)的數(shù)碼;0,1,2,9由低位向高位按“逢10進(jìn)一”的規(guī)則計(jì)數(shù),10稱為基數(shù);采用“位權(quán)”表示法(按權(quán)展開);小數(shù)點(diǎn)的移動等價(jià)于乘10或除10;,同一進(jìn)位制中,不同位置上的同一個(gè)數(shù)字符號所代表的值是不同的。,8,3.1數(shù)制及數(shù)制之間的轉(zhuǎn)換,(1011.101)2,=123+022+121+120+12-1+02-2+12-3,R進(jìn)制的數(shù)S的位權(quán)展開多項(xiàng)式,(1011.101)8,=183+082+181+180+18-1+08-2+18-3,9,3.1數(shù)制及數(shù)制之間的轉(zhuǎn)換,二進(jìn)制(B)八進(jìn)制(O)十進(jìn)制(D)十六進(jìn)制(H),十進(jìn)制012345678910111213141516八進(jìn)制01234567101112131415161720十六進(jìn)制0123456789ABCDEF10二進(jìn)制011011100110100010101100111010000,016之間整數(shù)的常用進(jìn)制數(shù)對應(yīng)關(guān)系,10,數(shù)制轉(zhuǎn)換1:多項(xiàng)式替代法,(1011.101)2,=123+022+121+120+12-1+02-2+12-3,=(11.625)10,11,數(shù)制轉(zhuǎn)換1:多項(xiàng)式替代法,例試用多項(xiàng)式替代法將十進(jìn)制數(shù)34.75數(shù)轉(zhuǎn)換為二進(jìn)制數(shù)。,34.75,3101+4100+710-1+510-2,(3)10=(11)2,(4)10=(100)2,(7)10=(111)2,(5)10=(101)2,(10)10=(1010)2,1110101+10010100+1111010-1+1011010-2,(100010.11)2,111010+100+1111010+10110101010=11110+100+(1111010+101)10101010=100010+100101110101010=100010.11,12,數(shù)制轉(zhuǎn)換1:多項(xiàng)式替代法,適用場合:將其他進(jìn)制的數(shù)字轉(zhuǎn)換為十進(jìn)制數(shù)例:(357)8=()10,382+581+780,=(239)10,適用場合:將其他進(jìn)制的數(shù)字轉(zhuǎn)換為十進(jìn)制數(shù)例:(8BC3)16=()10,8163+B162+C161+3160,=(35779)10,13,數(shù)制轉(zhuǎn)換2:基數(shù)除法,例試用整數(shù)除法將十進(jìn)制數(shù)92數(shù)轉(zhuǎn)換為二進(jìn)制數(shù)。,整數(shù)部分,小數(shù)部分,適用場合:將十進(jìn)制整數(shù)轉(zhuǎn)換為其它進(jìn)制的整數(shù),14,數(shù)制轉(zhuǎn)換2:基數(shù)除法,92,46,23,11,5,2,1,0,(92)10=(1011100)2,15,數(shù)制轉(zhuǎn)換2:基數(shù)除法,922,115,14,1,0,(922)10=(1632)8,例,將十進(jìn)制整數(shù)922轉(zhuǎn)換成8進(jìn)制數(shù)和16進(jìn)制數(shù),(922)10=(39A)16,16,數(shù)制轉(zhuǎn)換2:基數(shù)除法,92,46,23,11,5,2,1,0,基數(shù)除法:任意進(jìn)制之間轉(zhuǎn)換,17,數(shù)制轉(zhuǎn)換2:基數(shù)除法,例將4進(jìn)制數(shù)321轉(zhuǎn)換為七進(jìn)制數(shù)。,321,20,1,1,1,0,1,(321)4=(111)7,18,數(shù)制轉(zhuǎn)換3:基數(shù)乘法,例將十進(jìn)制小數(shù)0.6875轉(zhuǎn)換為二進(jìn)制數(shù)。,小數(shù)部分,整數(shù)部分,適用場合:將十進(jìn)制小數(shù)轉(zhuǎn)換為其他進(jìn)制小數(shù),19,數(shù)制轉(zhuǎn)換3:基數(shù)乘法,0.6875,1.3750,0.7500,1.5000,1.0000,B-1=1,B-2=0,B-3=1,B-4=1,(0.6875)10=(0.1011)2,20,數(shù)制轉(zhuǎn)換3:基數(shù)乘法,0.6875,1.3750,0.7500,1.5000,1.0000,任意數(shù)制轉(zhuǎn)換,21,數(shù)制轉(zhuǎn)換3:基數(shù)乘法,例用基數(shù)乘法將二進(jìn)制數(shù)0.1101轉(zhuǎn)換為十進(jìn)制數(shù)。,0.1101,1000.001,1000,1.0100,1,10.1000,10,101.00,101,(0.1101)2=(0.8125)10,22,數(shù)制轉(zhuǎn)換4:混合法,多項(xiàng)式替代法:將其他進(jìn)制轉(zhuǎn)換為十進(jìn)制;基數(shù)乘法:將十進(jìn)制小數(shù)轉(zhuǎn)換為其他進(jìn)制;基數(shù)除法:將十進(jìn)制整數(shù)轉(zhuǎn)換為其他進(jìn)制;,23,數(shù)制轉(zhuǎn)換4:混合法,例將四進(jìn)制數(shù)1023.231轉(zhuǎn)換為五進(jìn)制數(shù)。,(1023.231)4,=143+042+241+340+24-1+34-2+14-3,=(75.703125)10,75,15,0,3,0,0,3,0.703125,3.515625,2.578125,2.890625,4.453125,(1023.231)4=(300.3224)5,24,數(shù)制轉(zhuǎn)換5:直接轉(zhuǎn)換法,適用于:與滿足(為整數(shù))2k關(guān)系。,三位二進(jìn)制數(shù)對應(yīng)于一位八進(jìn)制數(shù);一位八進(jìn)制數(shù)對應(yīng)于三位二進(jìn)制數(shù);,16進(jìn)制與2進(jìn)制的轉(zhuǎn)換如何處理?,25,數(shù)制轉(zhuǎn)換5:直接轉(zhuǎn)換法,例將二進(jìn)制數(shù)10000110001.1011轉(zhuǎn)換為八進(jìn)制數(shù)。,10000110001.1011,00,0,4,5,1,6,0,2,(10000110001.1011)2=(2061.54)8,26,數(shù)制轉(zhuǎn)換5:直接轉(zhuǎn)換法,例將八進(jìn)制數(shù)1037.26直接轉(zhuǎn)換為二進(jìn)制數(shù)。,1037.26,001,000,011,111,010,110,(1037.26)8=(1000011111.01011)2,27,數(shù)制轉(zhuǎn)換6:轉(zhuǎn)換位數(shù)的確定,目的:在進(jìn)行進(jìn)制轉(zhuǎn)換時(shí),保證數(shù)的精度。,(0.2)10=(0.00110011)2,設(shè)進(jìn)制小數(shù)為k位,為保證轉(zhuǎn)換精度,需取j位進(jìn)制小數(shù)。,28,數(shù)制轉(zhuǎn)換6:轉(zhuǎn)換位數(shù)的確定,例將十進(jìn)制數(shù)0.31534轉(zhuǎn)換為十六進(jìn)制數(shù),要求轉(zhuǎn)換精度為,取j=5,29,3.2編碼,3.2.1BCD碼用四位二進(jìn)制數(shù)表示一位十進(jìn)制數(shù)的方法,稱為二十進(jìn)制代碼(Binarycodeddecimal,BCD碼)常見的BCD碼有:8421碼、2421碼、余3碼8421碼:N=8a3+4a2+2a1+a0例(10.54)10=(00010000.01010100)84212421碼:N=2a3+4a2+2a1+a0特點(diǎn):編碼方案不唯一余3碼:十進(jìn)制數(shù)的8421碼加上0011得到。,30,3.2.2文本,1.ASCII為每一個(gè)字符制定唯一的一個(gè)編碼,即可將一個(gè)字符串轉(zhuǎn)換成一個(gè)二進(jìn)制串美國信息交換標(biāo)準(zhǔn)碼:Americanstandardcodeforinformationinterchange,ASCIIASCII碼采用7位編碼,可表示128位字符,計(jì)算機(jī)中用8位表示一個(gè)字節(jié),最高位補(bǔ)0;擴(kuò)展的ASCII碼最高位為1,因此1字節(jié)的編碼共可表示256個(gè)字符。C語言字母基于ASCII碼字母表,31,3.2.2文本,2.漢字:兩個(gè)字節(jié)表示一個(gè)漢字3.Unicode:32位編碼,可以為全世界每種語言的每個(gè)字符設(shè)定一個(gè)唯一的二進(jìn)制編碼。,32,3.2.3圖像,1.位圖在位圖技術(shù)中,圖像被看成點(diǎn)的集合,每一個(gè)點(diǎn)稱為一個(gè)像素;黑白圖像:用一個(gè)二進(jìn)制位(bit)表示1個(gè)像素,1表示黑色,0表示白色;彩色圖像:每個(gè)像素用24位RGB編碼來表示。R、G、B取值范圍0255.白色RGB(255,255,255)黑色RGB(0,0,0)問用位圖方式存儲一張1024512大小的圖片需要存儲空間是多少?,10245123Byte=1.5MB,33,3.2.3圖像,2.矢量圖矢量:是既有大小又有方向的量。物理中稱為矢量,數(shù)學(xué)上稱為向量;矢量圖是使用數(shù)學(xué)的方法構(gòu)造一些基本的幾何元素,點(diǎn)、線、矩形、多邊形、圓、弧線等,然后利用這些幾何元素構(gòu)造計(jì)算機(jī)圖形。特點(diǎn):矢量圖形可以通過公式計(jì)算得到,無需記錄像素點(diǎn)信息,圖像文件較小。例如畫圓:只需記錄圓心坐標(biāo)和半徑。優(yōu)點(diǎn):圖形不失真,34,3.2.4聲音,音頻信息編碼方法按有規(guī)律的時(shí)間間隔采樣聲波的振幅,并記錄所得到的數(shù)值序列。步驟:(1)采樣:等時(shí)間間隔的讀取聲音幅值。采樣頻率是每秒鐘抽取的樣本數(shù),單位kHz.(2)量化:把讀取的幅值進(jìn)行分級量化,按整個(gè)波形變化的最大幅度劃分成幾個(gè)區(qū)段,把落在某個(gè)區(qū)段的采樣幅值歸為一類,并給出相應(yīng)的量化值。,35,3.2.5可靠性編碼,常用可靠性編碼:格雷碼、奇偶校驗(yàn)碼、海明碼。1.格雷(Gray)碼:任意兩個(gè)相鄰數(shù)的編碼只有1位二進(jìn)制數(shù)不同。2.奇偶校驗(yàn)碼由信息位和1位校驗(yàn)位組成校驗(yàn)位的取值將使整個(gè)編碼中1的個(gè)數(shù)為奇數(shù)個(gè)(奇校驗(yàn)),或偶數(shù)個(gè)(偶校驗(yàn))例如6編碼:奇校驗(yàn)01101偶校驗(yàn)01100,能夠發(fā)現(xiàn)1位錯誤或奇數(shù)位錯誤,對偶數(shù)位同時(shí)出錯不能夠發(fā)現(xiàn),36,3.2.5可靠性編碼,3.海明碼具有檢錯和糾錯能力。即能夠發(fā)現(xiàn)錯誤及哪些位出錯。,37,3.3二進(jìn)制邏輯運(yùn)算,10100110,11010111,01110001,運(yùn)算規(guī)則,38,3.3二進(jìn)制邏輯運(yùn)算,應(yīng)用,*掩碼:是一種特二進(jìn)制代碼序列,將源碼與掩碼經(jīng)過邏輯運(yùn)算得出新的操作數(shù),1.與運(yùn)算(1)應(yīng)用:“清零”或“復(fù)位”,即將二進(jìn)制數(shù)的某些位變成0,做與運(yùn)算,(2)掩碼設(shè)計(jì):要清零的相應(yīng)位置0,其余位為1(3)舉例:將8位二進(jìn)制數(shù)的最低位清零,掩碼:11111110(4)練習(xí):將8位二進(jìn)制數(shù)的第2和5位清零?,39,3.3二進(jìn)制邏輯運(yùn)算,應(yīng)用,(4)練習(xí):將8位二進(jìn)制數(shù)的第2和5位置位?掩碼:,2.或運(yùn)算(1)應(yīng)用:“置位”,即將二進(jìn)制數(shù)的某些位變成1(2)掩碼設(shè)計(jì):,要置位的相應(yīng)位置1,其余位為0,做或運(yùn)算(3)舉例:將8位二進(jìn)制數(shù)的最低位置位,掩碼:00000001,00100100,40,3.3二進(jìn)制邏輯運(yùn)算,應(yīng)用,(4)練習(xí):將8位二進(jìn)制數(shù)的第2和5反轉(zhuǎn)?掩碼:,3.異或運(yùn)算(1)應(yīng)用:“反轉(zhuǎn)”,即將二進(jìn)制數(shù)的某些位反轉(zhuǎn)(取反)。(2)掩碼設(shè)計(jì):,要反轉(zhuǎn)的相應(yīng)位置1,其余保持不變位為0,做異或運(yùn)算(3)舉例:將8位二進(jìn)制數(shù)3-7位反轉(zhuǎn),掩碼:,00100100,11111000,41,3.4二進(jìn)制算術(shù)運(yùn)算,計(jì)算機(jī)是對機(jī)器數(shù)進(jìn)行運(yùn)算的,而我們最終需要的又是真值。因此,希望機(jī)器數(shù)要盡可能地滿足下列要求:,機(jī)器數(shù)必須能被計(jì)算機(jī)表示;,機(jī)器數(shù)與真值的轉(zhuǎn)換要簡單,辨認(rèn)要直觀。,機(jī)器數(shù)的運(yùn)算規(guī)則要簡單。,在計(jì)算機(jī)表示正負(fù)號的最簡單的方法就是用0表示正號,用1表示負(fù)號。,42,3.4.1數(shù)的原碼反碼和補(bǔ)碼表示,43,3.4.1數(shù)的原碼反碼和補(bǔ)碼表示,特殊值的原碼、反碼和補(bǔ)碼表示,44,3.4.1數(shù)的原碼反碼和補(bǔ)碼表示,長度為n的數(shù),其原碼、反碼與補(bǔ)碼均為n+1位;正數(shù)的原碼、反碼及補(bǔ)碼均相同,均為其真值前加符號位0;負(fù)數(shù)的原碼為在其真值前加符號位1;負(fù)數(shù)的反碼等于其原碼數(shù)據(jù)位按位求反;負(fù)數(shù)的補(bǔ)碼等于反碼數(shù)據(jù)位末位加1,符號不變;,如何由負(fù)數(shù)的原碼求補(bǔ)碼?如何由負(fù)數(shù)的補(bǔ)碼求原碼?,45,3.4.1數(shù)的原碼反碼和補(bǔ)碼表示,例已知x=+101101,y=-101101,求x和y的原碼、反碼及補(bǔ)碼。,x原=x反=x補(bǔ)=0101101,y原=1101101,y反=1010010,y補(bǔ)=1010011,1101100,1101101,如何由負(fù)數(shù)的原碼求補(bǔ)碼?符號位不變,數(shù)據(jù)位變反加1如何由負(fù)數(shù)的補(bǔ)碼求原碼?(1)補(bǔ)碼數(shù)據(jù)位減1得反碼,反碼數(shù)據(jù)位變反得原碼(2)補(bǔ)碼的數(shù)據(jù)位按位取反加1,符號位不變,46,3.4.2定點(diǎn)數(shù)與浮點(diǎn)數(shù),5.5,2.75,101.1,10.11,小數(shù)點(diǎn)在計(jì)算機(jī)內(nèi)部如何表示?,定點(diǎn)表示法;浮點(diǎn)表示法;,47,3.4.2定點(diǎn)數(shù)與浮點(diǎn)數(shù),計(jì)算機(jī)中,數(shù)字0和1是用觸發(fā)器的狀態(tài)表示的,一個(gè)觸發(fā)器可以存儲一位二進(jìn)制數(shù)。如果一個(gè)計(jì)算機(jī)的字長為16位,其結(jié)構(gòu)可以表示如下:,定點(diǎn)小數(shù)表示,定點(diǎn)整數(shù)表示,48,3.4.2定點(diǎn)數(shù)與浮點(diǎn)數(shù),為了將實(shí)際的數(shù)用浮點(diǎn)整數(shù)或浮點(diǎn)小數(shù)表示,這需要對小數(shù)進(jìn)行放大處理或?qū)φ麛?shù)進(jìn)行縮小處理,以使表示的數(shù)變?yōu)檎麛?shù)或小數(shù),稱為選取比例因子。,小數(shù)點(diǎn)位置,小數(shù)點(diǎn)位置,49,3.4.2定點(diǎn)數(shù)與浮點(diǎn)數(shù),例用定點(diǎn)小數(shù)和定點(diǎn)整數(shù)表示數(shù)101.1和10.11。,定點(diǎn)小數(shù),定點(diǎn)整數(shù),50,3.4.2定點(diǎn)數(shù)與浮點(diǎn)數(shù),所謂的浮點(diǎn)表示法,就是計(jì)算機(jī)中數(shù)的小數(shù)點(diǎn)位置不是固定的,或者說是浮動的。,一般來講,任何十進(jìn)制數(shù)N可以表示為:,其中J稱為階碼(可正可負(fù)),S稱為尾數(shù)(可正可負(fù))。,51,3.4.2定點(diǎn)數(shù)與浮點(diǎn)數(shù),階碼尾數(shù),52,3.4.2定點(diǎn)數(shù)與浮點(diǎn)數(shù),階碼,尾數(shù),階碼符號,階碼,尾數(shù)符號,尾數(shù),53,3.4.3算術(shù)運(yùn)算,加法運(yùn)算,減法運(yùn)算,乘法運(yùn)算,除法運(yùn)算,54,3.4.3算術(shù)運(yùn)算,例已知x=+1101,y=+0110,用原碼運(yùn)算計(jì)算x-y之值。,(1)將數(shù)用原碼表示;(2)比較兩個(gè)數(shù)的大小,用大的減小的,同時(shí)確定結(jié)果的符號;,x原=0,1101,y原=0,0110,0,0111,x-y=+0111,運(yùn)算規(guī)則,55,3.4.3算術(shù)運(yùn)算,例已知x=+1101,y=+0110,用反碼運(yùn)算計(jì)算x-y之值。,x反=0,1101,-y反=1,1001,10,0110,x-y=+0111,1,0,0111,運(yùn)算規(guī)則,56,3.4.3算術(shù)運(yùn)算,例已知x=+1101,y=+0110,用補(bǔ)碼運(yùn)算計(jì)算x-y之值。,x補(bǔ)=0,1101,-y補(bǔ)=1,1010,10,0111,x-y=+0111,運(yùn)算規(guī)則,57,3.5邏輯門電路,在數(shù)字系統(tǒng)中,各種功能部件都是由基本邏輯電路實(shí)現(xiàn)的。這些基本電路控制著系統(tǒng)中信息的流通,它們的作用和門的開關(guān)作用極為相似,故稱為邏輯門電路,簡稱邏輯門或門電路。邏輯門是數(shù)字電路邏輯設(shè)計(jì)中的基本元件。,3.5.1晶體管,集成電路:將實(shí)現(xiàn)各種邏輯功能的元器件及其連線都集中制造在同一塊半導(dǎo)體材料基片上,通過引線與外界聯(lián)系,58,3.5邏輯門電路,在數(shù)字系統(tǒng)中,各種功能部件都是由基本邏輯電路實(shí)現(xiàn)的。這些基本電路控制著系統(tǒng)中信息的流通,它們的作用和門的開關(guān)作用極為相似,故稱為邏輯門電路,簡稱邏輯門或門電路。邏輯門是數(shù)字電路邏輯設(shè)計(jì)中的基本元件。,3.5.1晶體管,集成電路:將實(shí)現(xiàn)各種邏輯功能的元器件及其連線都集中制造在同一塊半導(dǎo)體材料基片上,通過引線與外界聯(lián)系.,59,3.5.1晶體管,集成電路,雙極型集成電路,單極型集成電路,:采用雙極型半導(dǎo)體器件,:采用金屬-氧化物-半導(dǎo)體場效應(yīng)管(簡稱MOS管)作為元件,雙極型集成電路,TTL:transistor-transistorlogic晶體管-晶體管邏輯電路,ECL:emittercoupledlogic射極耦合邏輯門電路,I2L:integratedinjectionlogic集成注入邏輯電路,60,3.5.1晶體管,單極型集成電路,N型MOS管,P型MOS管,PMOS,NMOS,CMOS:由PMOS和NMOS組成的互補(bǔ)MOS電路,課后筆記本:總結(jié)各種集成電路的優(yōu)缺點(diǎn),當(dāng)柵極為低電平時(shí),源極和漏極導(dǎo)通,當(dāng)柵極為高電平時(shí),源極和漏極導(dǎo)通,61,3.5.2非門,CMOS非門,工作原理:1.當(dāng)VIN為1時(shí),T1斷開,T2導(dǎo)通,VOUT=0,2.當(dāng)VIN為0時(shí),T1導(dǎo)通,T2斷開,VOUT=1,62,3.5.3與非門電路,CMOS與非門,CMOS與門,63,3.5.4或非門,CMOS或非門,CMOS或門,64,3.5邏輯門電路,(f)異或門,65,3.6組合邏輯電路,舉重比賽規(guī)則規(guī)定:在一名主裁判和兩名副裁判中,必須有兩人以上(必須包括主裁判)認(rèn)為運(yùn)動員的動作合格,試舉才算成功。比賽時(shí)主裁判掌握著開關(guān)C、兩名副裁判分別掌握開關(guān)A和B,當(dāng)裁判認(rèn)為運(yùn)動員動作合格時(shí)就合上相應(yīng)的開關(guān),否則不合。,66,3.6組合邏輯電路,67,3.6常用組合電路,2-4譯碼器,多路復(fù)用器,3-8譯碼器如何構(gòu)成?,68,3.6組合邏輯電路加法器,半加不考慮來自低位的進(jìn)位,將兩個(gè)1位二進(jìn)制位相加,稱為半加。半加器實(shí)現(xiàn)半加運(yùn)算的電路,輸出和輸入的邏輯關(guān)系?,0,0,1,0,1,0,0,1,S=AB+AB=ABCO=AB,69,3.6組合邏輯電路加法器,全加若考慮來自低位的進(jìn)位,將兩個(gè)1位二進(jìn)制位和來自低位的進(jìn)位相加。全加器實(shí)現(xiàn)全加運(yùn)算的電路,輸出和輸入的邏輯關(guān)系?,70,3.6組合邏輯電路加法器,71,3.6組合邏輯電路加法器,72,3.7時(shí)序邏輯電路,時(shí)序邏輯電路:輸出信號不僅與電路該時(shí)刻的輸入有關(guān),還與電路過去的輸入信號有關(guān)。電路要具有記憶功能。,73,3.7時(shí)序邏輯電路,1.R-S鎖存器,Set,Reset,Q,Q,G1,G2,(1)若R=1,S=1,則鎖存器保持原來狀態(tài)不變;(2)若R=1,S=0,則鎖存器置為1狀態(tài),即Q=1;(3)若R=0,S=1,則鎖存器狀態(tài)置為0狀態(tài),即Q=0;,置1端,置位端,置0端,復(fù)位端,互補(bǔ)輸出端,(4)R和S不能同時(shí)為0。,74,3.7時(shí)序邏輯電路,2.R-S觸發(fā)器,觸發(fā)信號,(1)當(dāng)CLK=1時(shí),觸發(fā)器可以接受輸入信號;(2)當(dāng)CLK=0時(shí),觸發(fā)器保存的是CLK回到0以前瞬間的狀態(tài)。,75,3.7時(shí)序邏輯電路,D觸發(fā)器,CLK=1時(shí),D=1,Set=1,Q=1,CLK回0,則Q=1;CLK=1時(shí),D=0,Reset=1,Q=0,CLK回0,則Q=0,即保存了D的信息,76,3.7時(shí)序邏輯電路,3.寄存器,CLK控制4位的寄存器,可同時(shí)存儲4位二進(jìn)制位(4bit)數(shù)據(jù)。,!時(shí)序邏輯電路結(jié)構(gòu):組合邏輯電路+存儲電路,77,3.7時(shí)序邏輯電路,223內(nèi)存的邏輯示意圖,地址線,78,本章小結(jié),了解:1文本、圖像、聲音的編碼方法;2譯碼器的構(gòu)成;3鎖存器、觸發(fā)器、加法器的結(jié)構(gòu);理解:1小數(shù)的定點(diǎn)數(shù)和浮點(diǎn)數(shù)表示方法;2寄存器、時(shí)序邏輯電路的邏輯結(jié)構(gòu);掌握:1進(jìn)位計(jì)數(shù)制的含義和不同進(jìn)位制數(shù)的轉(zhuǎn)換方法;2BCD碼編碼方法:8421碼、2421碼、余3碼;3奇偶校驗(yàn)碼;4二進(jìn)制邏輯運(yùn)算:與運(yùn)算、或運(yùn)算、非運(yùn)算、異或運(yùn)算;5原碼、反碼、補(bǔ)碼表示及其運(yùn)算;6晶體管、非門、與非門、或非門的結(jié)構(gòu)。,

注意事項(xiàng)

本文(數(shù)據(jù)表示與邏輯運(yùn)算.ppt)為本站會員(tian****1990)主動上傳,裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!