歡迎來(lái)到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁(yè) 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

《微型計(jì)算機(jī)原理與接口技術(shù)》清華大學(xué)出版社 馮博琴 吳寧主編 課后答案

  • 資源ID:108809389       資源大?。?span id="pv3n82a" class="font-tahoma">125.50KB        全文頁(yè)數(shù):11頁(yè)
  • 資源格式: DOC        下載積分:15積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開(kāi)放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要15積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開(kāi),此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁(yè)到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無(wú)水印,預(yù)覽文檔經(jīng)過(guò)壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒(méi)有明確說(shuō)明有答案則都視為沒(méi)有答案,請(qǐng)知曉。

《微型計(jì)算機(jī)原理與接口技術(shù)》清華大學(xué)出版社 馮博琴 吳寧主編 課后答案

微型計(jì)算機(jī)原理與接口技術(shù)清華大學(xué)出版社馮博琴吳寧主編課后答案第1章基礎(chǔ)知識(shí)1.1計(jì)算機(jī)中常用的計(jì)數(shù)制有哪些?解:二進(jìn)制、八進(jìn)制、十進(jìn)制(BCD)、十六進(jìn)制。1.2什么是機(jī)器碼?什么是真值?解:把符號(hào)數(shù)值化的數(shù)碼稱為機(jī)器數(shù)或機(jī)器碼,原來(lái)的數(shù)值叫做機(jī)器數(shù)的真值。1.3完成下列數(shù)制的轉(zhuǎn)換。微型計(jì)算機(jī)的基本工作原理匯編語(yǔ)言程序設(shè)計(jì)微型計(jì)算機(jī)接口技術(shù)建立微型計(jì)算機(jī)系統(tǒng)的整體概念,形成微機(jī)系統(tǒng)軟硬件開(kāi)發(fā)的初步能力。解:(1)166,A6H(2)0.7511111101.01B,FD.4H(4)1.48位和16位二進(jìn)制數(shù)的原碼、補(bǔ)碼和反碼可表示的數(shù)的范圍分別是多少?解:原碼(-127+127)、(-32767+32767)補(bǔ)碼(-128+127)、(-32768+32767)反碼(-127+127)、(-32767+32767)1.5寫出下列真值對(duì)應(yīng)的原碼和補(bǔ)碼的形式。(1)X=-1110011B(2)X=-71D(3)X=+1001001B解:(1)原碼:11110011補(bǔ)碼:10001101(2)原碼:11000111補(bǔ)碼:10111001(3)原碼:1.7 01001001補(bǔ)碼:010010011.6寫出符號(hào)數(shù)10110101B的反碼和補(bǔ)碼。解:11001010,11001011已知X和Y的真值,求X+Y的補(bǔ)碼。(1)X=-1110111BY=+1011010B(2)X=56DY=-21D解:11100011(2)00100011已知X=-1101001B,Y=-1010110B,用補(bǔ)碼求X-Y的值。解:111011011.9請(qǐng)寫出下列字符的ASCII碼。4A3-!1.11 解:34H,41H,33H,3DH,21H1.10若給字符4和9的ASCII碼加奇校驗(yàn),應(yīng)是多少?解:34H,B9H上題中若加偶校驗(yàn),結(jié)果如何?解:B4H,39H計(jì)算下列表達(dá)式。(1)(4EH+10110101B)x(0.0101)BCD=()D(2)4EH-(24/08H+'B'/2)=()B解:129.5D(2)101010B第2章微型計(jì)算機(jī)基礎(chǔ)2.6簡(jiǎn)述CPU執(zhí)行程序的過(guò)程。解:當(dāng)程序的第一條指令所在的地址送入程序計(jì)數(shù)器后,CPU就進(jìn)入取指階段準(zhǔn)備取第一條指令。在取指階段,CPU從內(nèi)存中讀出指令,并把指令送至指令寄存器IR暫存。在取指階段結(jié)束后,機(jī)器就進(jìn)入執(zhí)行階段,這時(shí),由指令譯碼器對(duì)指令譯碼,再經(jīng)控制器發(fā)出相應(yīng)的控制信號(hào),控制各部件執(zhí)行指令所規(guī)定的具體操作。當(dāng)一條指令執(zhí)行完畢以后,就轉(zhuǎn)入了下一條指令的取指階段。以上步驟周而復(fù)始地循環(huán),直到遇到停機(jī)指令。2.7說(shuō)明8086的EU和BIU的主要功能。在執(zhí)行程序過(guò)程中他們是如何相互配合工作的?解:執(zhí)行單元EU負(fù)責(zé)執(zhí)行指令。EU在工作時(shí)不斷地從指令隊(duì)列取出指令代碼,對(duì)其譯碼后產(chǎn)生完成指令所需要的控制信息。數(shù)據(jù)在ALU中進(jìn)行運(yùn)算,運(yùn)算結(jié)果的特征保留在標(biāo)志寄存器FLAGS中。總線接口單元BIU負(fù)責(zé)CPU與存儲(chǔ)器、I/O接口之間的信息傳送。BIU取出的指令被送入指令隊(duì)列供EU執(zhí)行,BIU取出的數(shù)據(jù)被送入相關(guān)寄存器中以便做進(jìn)一步的處理。當(dāng)EU從指令隊(duì)列中取走指令,指令隊(duì)列出現(xiàn)空字節(jié)時(shí),BIU就自動(dòng)執(zhí)行一次取指令周期,從內(nèi)存中取出后續(xù)的指令代碼放入隊(duì)列中。當(dāng)EU需要數(shù)據(jù)時(shí),BIU根據(jù)EU給出的地址,從指定的內(nèi)存單元或外設(shè)中取出數(shù)據(jù)供EU使用。當(dāng)運(yùn)算結(jié)束時(shí),BIU將運(yùn)算結(jié)果送入指定的內(nèi)存單元或寄存器。當(dāng)指令隊(duì)列空時(shí),EU就等待,直到有指令為止。若BIU正在取指令,EU發(fā)出訪問(wèn)總線的請(qǐng)求,則必須等BIU取指令完畢后,該請(qǐng)求才能得到響應(yīng)。一般情況下,程序順序執(zhí)行,當(dāng)遇到跳轉(zhuǎn)指令時(shí),BIU就使指令隊(duì)列復(fù)位,從新地址取出指令,并立即傳送EU去執(zhí)行。指令隊(duì)列的存在使8086/8088的EU和BIU并行工作,從而減少了CPU為取指令而等待的時(shí)間,提高了CPU的利用率,加快了整機(jī)的運(yùn)行速度。另外也降低了對(duì)存儲(chǔ)器存取速度的要求。2.8在執(zhí)行指令期間,BIU能直接訪問(wèn)存儲(chǔ)器嗎?為什么?解:可以因?yàn)镋U和BIU可以并行工作,EU需要的指令可以從指令隊(duì)列中獲得這時(shí)BIU預(yù)先從存儲(chǔ)器中取出并放入指令隊(duì)列的。在EU執(zhí)行指令的同時(shí),BIU可以訪問(wèn)存儲(chǔ)器取下一條指令或指令執(zhí)行時(shí)需要的數(shù)據(jù)。2.98086與8088CPU的主要區(qū)別有哪些?解:主要區(qū)別有以下幾點(diǎn):8086的外部數(shù)據(jù)總線有16位,而8088的外部數(shù)據(jù)總線只有8位。8086指令隊(duì)列深度為6個(gè)字節(jié),而8088的指令隊(duì)列深度為4個(gè)字節(jié).因?yàn)?086的外部數(shù)據(jù)總線有16位,故8086每個(gè)總線周期可以存取兩個(gè)字節(jié).而8088的外部數(shù)據(jù)總線因?yàn)橹挥?位,所以每個(gè)總線周期只能存取1個(gè)字節(jié).個(gè)別引腳信號(hào)的含義稍有不同.2.108088CPU工作在最小模式下:(1)當(dāng)CPU訪問(wèn)存儲(chǔ)器時(shí),要利用哪些信號(hào)?(2)當(dāng)CPU進(jìn)行I/O操作時(shí),要利用哪些信號(hào)?(3)當(dāng)HOLD有效并得到響應(yīng)時(shí),CPU的哪些信號(hào)置高阻?解:(1)要利用信號(hào)線包括WR#、RD#、IO/M#、ALE以及AD0AD7、A8A19。(2)同(1)。(3)所有三態(tài)輸出的地址信號(hào)、數(shù)據(jù)信號(hào)和控制信號(hào)均置為高阻態(tài)。2.11總線周期中,什么情況下要插入TW等待周期?插入TW周期的個(gè)數(shù),取決于什么因素?解:在每個(gè)總線周期的T3的開(kāi)始處若READY為低電平,則CPU在T3后插入一個(gè)等待周期TW。在TW的開(kāi)始時(shí)刻,CPU還要檢查READY狀態(tài),若仍為低電平,則再插入一個(gè)TW。此過(guò)程一直進(jìn)行到某個(gè)TW開(kāi)始時(shí),READY已經(jīng)變?yōu)楦唠娖?這時(shí)下一個(gè)時(shí)鐘周期才轉(zhuǎn)入T4??梢钥闯?,插入TW周期的個(gè)數(shù)取決于READY電平維持的時(shí)間。2.12若8088工作在單CPU方式下,在教材第91頁(yè)的表中填入不同操作時(shí)各控制信號(hào)的狀態(tài)。解:結(jié)果如表所示。2.13在8086/8088CPU中,標(biāo)志寄存器包含哪些標(biāo)志位?各位為0(為1)分別表示什么含義?解:(略),見(jiàn)書第49頁(yè)。2.148086/8088CPU中,有哪些通用寄存器和專用寄存器?說(shuō)明它們的作用。解:通用寄存器包含以下8個(gè)寄存器:AX、BX、CX和DX寄存器一般用于存放參與運(yùn)算的數(shù)據(jù)或運(yùn)算的結(jié)果。除此之外:AX:主要存放算術(shù)邏輯運(yùn)算中的操作數(shù),以及存放I/O操作的數(shù)據(jù)。BX:存放訪問(wèn)內(nèi)存時(shí)的基地址。CX:在循環(huán)和串操作指令中用作計(jì)數(shù)器。DX:在寄存器間接尋址的I/O指令中存放I/O地址。在做雙字長(zhǎng)乘除法運(yùn)算時(shí),DX與AX合起來(lái)存放一個(gè)雙字長(zhǎng)數(shù)。SP:存放棧頂偏移地址。BP:存放訪問(wèn)內(nèi)存時(shí)的基地址。SP和BP也可以存放數(shù)據(jù),但它們的默認(rèn)段寄存器都是SS。SI:常在變址尋址方式中作為源地址指針。DI:常在變址尋址方式中作為目標(biāo)地址指針。專用寄存器包括4個(gè)段寄存器和兩個(gè)控制寄存器:CS:代碼段寄存器,用于存放代碼段的段基地址。DS:數(shù)據(jù)段寄存器,用于存放數(shù)據(jù)段的段基地址。SS:堆棧段寄存器,用于存放堆棧段的段基地址。ES:附加段寄存器,用于存放附加段的段基地址。IP:指令指針寄存器,用于存放下一條要執(zhí)行指令的偏移地址。FLAGS:標(biāo)志寄存器,用于存放運(yùn)算結(jié)果的特征。2.158086/8088系統(tǒng)中,存儲(chǔ)器為什么要分段?一個(gè)段最大為多少個(gè)字節(jié)?最小為多少個(gè)字節(jié)?解:分段的主要目的是便于存儲(chǔ)器的管理,使得可以用16位寄存器來(lái)尋址20位的內(nèi)存空間。一個(gè)段最大為64KB,最小為16B。2.16在8086/8088CPU中,物理地址和邏輯地址是指什么?已知邏輯地址為1F00:38A0H,如何計(jì)算出其對(duì)應(yīng)的物理地址?解:物理地址時(shí)CPU存取存儲(chǔ)器所用的地址。邏輯地址是段和偏移地址形式的地址,即匯編語(yǔ)言程序中使用的存儲(chǔ)器地址。若已知邏輯地址為1F00:38A0H,則對(duì)應(yīng)的物理地址=1F00Hx16+38A0H=228A0H。2.17已知存儲(chǔ)器物理地址為78A00H,計(jì)算出它所對(duì)應(yīng)的邏輯地址。此結(jié)果惟一嗎?解:物理地址可以對(duì)應(yīng)于不同的邏輯地址o78A00H對(duì)應(yīng)的邏輯地址可以是7000H:8A00H,7800H:0A00H,78A0H:0000H等。結(jié)果不是惟一的。2.18設(shè)當(dāng)前數(shù)據(jù)段位于存儲(chǔ)器的A8000HB7FFFH,DS段寄存器的內(nèi)容應(yīng)是什么?解:因?yàn)锳8000H到B7FFFH之間的地址范圍大小為64KB,未超出一個(gè)段的最大范圍。故要訪問(wèn)此地址范圍的數(shù)據(jù),數(shù)據(jù)段的起始地址(即段首地址)應(yīng)為A8000H,則DS段寄存器為A800Ho2.19若CS=8000H,則當(dāng)前代碼段可尋址的存儲(chǔ)空間的范圍是多少?解(CS)=8000H時(shí),當(dāng)前代碼段可尋址的存儲(chǔ)空間范圍為80000H8FFFFHo2.208086/8088CPU在最小模式下構(gòu)成計(jì)算機(jī)系統(tǒng)至少應(yīng)包括哪幾個(gè)基本部分(器件)?解:其至少應(yīng)包括:8088CPU、8284時(shí)鐘發(fā)生器、8282鎖存器(3片)和8286雙向總線驅(qū)動(dòng)器。第3章8088/8086指令系統(tǒng)3.1什么叫尋址方式?8086/8088CPU共有哪幾種尋址方式?解:尋址方式主要是指獲得操作數(shù)所在地址的方法8086/8088CPU具有:立即尋址、直接尋址、寄存器尋址、寄存器間接尋址、寄存器相對(duì)尋址、基址一變址尋址、基址一變址相對(duì)尋址以及隱含尋址等8種尋址方式。3.2設(shè)(DS)=6000H,(ES)=2000H,(SS)=1500H,(Si)=00A0H,(BX)=0800H,(BP)=1200H,數(shù)據(jù)變量VAR為0050H.請(qǐng)分別指出下列各條指令源操作數(shù)的尋址方式?它的物理地址是多少?(1)MOVAX,BX(2)MOVDL,80H(3)MOVAX,VAR(4)MOVAX,VARBXSI(5)MOVAL,'B'(6)MOVDI,ES:BX(7)MOVDX,BP(8)MOVBX,20HBX解:(1) 寄存器尋址。因源操作數(shù)是寄存器,故寄存器BX就是操作數(shù)的地址.立即尋址。操作數(shù)80H存放于代碼段中指令碼MOV之后。(3)直接尋址。(4)基址一變址一相對(duì)尋址操作數(shù)的物理地址=(DS)X16+(SI)+(BX)+VAR=60000H+00A0H+0800H+0050H=608F0H(5)立即尋址(6)寄存器間接尋址.操作數(shù)的物理地址=(ES)X16+(BX)=20000H+0800H=20800H寄存器間接尋址。操作數(shù)的物理地址=(SS)X16(BP)=15000H1200H=16200H(8)寄存器相對(duì)尋址操作數(shù)的物理地址=(DS)X16+(BX)+20H=60000H+0800H+20H=60820H3.3假設(shè)(DS)=212AH,(CS)=0200H,(IP)=1200H,(BX)=0500H,位移量DATA=40H,(217A0H)=2300H,(217E0H)=0400H,(217E2H)=9000H試確定下列轉(zhuǎn)移指令的轉(zhuǎn)移地址.(1)JMP2300HJMPWORDPTRBXJMPDWORDPTRBX+DATA解:轉(zhuǎn)移指令分為段內(nèi)轉(zhuǎn)移和段間轉(zhuǎn)移,根據(jù)其尋址方式的不同,又有段內(nèi)的直接轉(zhuǎn)移和間接轉(zhuǎn)移,以及段間的直接轉(zhuǎn)移和間接轉(zhuǎn)移地址。對(duì)直接轉(zhuǎn)移,其轉(zhuǎn)移地址為當(dāng)前指令的偏移地址(即IP的內(nèi)容)加上位移量或由指令中直接得出;對(duì)間接轉(zhuǎn)移,轉(zhuǎn)移地址等于指令中寄存器的內(nèi)容或由寄存器內(nèi)容所指向的存儲(chǔ)單元的內(nèi)容。(1)段內(nèi)直接轉(zhuǎn)移。轉(zhuǎn)移的物理地址=(CS)Xl6+2300H=02000H+2300H=04300H段內(nèi)間接轉(zhuǎn)移。轉(zhuǎn)移的物理地址=(CS)X16+BX=(CS)Xl6+(217A0H)=02000H+2300H=04300H段間間接轉(zhuǎn)移。轉(zhuǎn)移的物理地址=BX+DATA=(217E2H)Xl6+(217E0H)=90000H+0400H=90400H3.4試說(shuō)明指令MOVBX,5BX與指令LEABX,5BX啲區(qū)別。解:前者是數(shù)據(jù)傳送類指令,表示將數(shù)據(jù)段中以(BX+5)為偏移地址的16位數(shù)據(jù)送寄存器BX.后者是取偏移地址指令,執(zhí)行的結(jié)果是(BX)=(BX)+5,即操作數(shù)的偏移地址為(BX)+5。3.5設(shè)堆棧指針SP的初值為2300H,(AX)=50ABH,(BX)=1234H。執(zhí)行指令PUSHAX后,(SP)=?,再執(zhí)行指令PUSHBX及POPAX之后,(SP)=?(AX)=?(BX)=?解:堆棧指針SP總是指向棧頂,每執(zhí)行一次PUSH指令SP-2,執(zhí)行一次POP指令SP+2.所以,執(zhí)行PUSHAX指令后,(SP)=22FEH;再執(zhí)行PUSHBX及POPAX后,(SP)=22FEH,(AX)=(BX)=1234H3.6指出下列指令的錯(cuò)誤:(5) MOVAH,CX(2)MOV33H,AL(3)MOVAX,SIDI(4)MOVBX,SIADDBYTEPTRBP,256(6)MOVDATASI,ES:AX(7)JMPBYTEPTRBX(8)OUT230H,AX(9)MOVDS,BP(10)MUL39H解:(1) 指令錯(cuò)。兩操作數(shù)字長(zhǎng)不相等指令錯(cuò)。MOV指令不允許目標(biāo)操作數(shù)為立即數(shù).(2) 指令錯(cuò)。在間接尋址中不允許兩個(gè)間址寄存器同時(shí)為變址寄存器。(4)指令錯(cuò)。MUV指令不允許兩個(gè)操作數(shù)同時(shí)為存儲(chǔ)器操作數(shù)。(5)指令錯(cuò)。ADD指令要求兩操作數(shù)等字長(zhǎng)。(6) 指令錯(cuò)。源操作數(shù)形式錯(cuò),寄存器操作數(shù)不加段重設(shè)符。(7)指令錯(cuò)。轉(zhuǎn)移地址的字長(zhǎng)至少應(yīng)是16位的。(8)指令錯(cuò)。對(duì)輸人輸出指令,當(dāng)端口地址超出8位二進(jìn)制數(shù)的表達(dá)范圍(即尋址的端口超出256個(gè))時(shí),必須采用間接尋址。(9)指令正確。(10)指令錯(cuò)。MUL指令不允許操作數(shù)為立即數(shù)。3.7已知(AL)=7BH,(BL)=38H,試問(wèn)執(zhí)行指令A(yù)DDAL,BL后,AF、CF、OF、PF、SF和ZF的值各為多少?解:AF=1,CF=0,OF=1,PF=0,SF=l,ZF=03.8試比較無(wú)條件轉(zhuǎn)移指令、條件轉(zhuǎn)移指令、調(diào)用指令和中斷指令有什么異同?解:無(wú)條件轉(zhuǎn)移指令的操作是無(wú)條件地使程序轉(zhuǎn)移到指定的目標(biāo)地址,并從該地址開(kāi)始執(zhí)行新的程序段其轉(zhuǎn)移的目標(biāo)地址既可以是在當(dāng)前邏輯段,也可以是在不同的邏輯段;條件轉(zhuǎn)移指令是在滿足一定條件下使程序轉(zhuǎn)移到指定的目標(biāo)地址,其轉(zhuǎn)移范圍很小,在當(dāng)前邏輯段的-128+127地址范圍內(nèi)。調(diào)用指令是用于調(diào)用程序中常用到的功能子程序,是在程序設(shè)計(jì)中就設(shè)計(jì)好的。根據(jù)所調(diào)用過(guò)程人口地址的位置可將調(diào)用指令分為段內(nèi)調(diào)用(入口地址在當(dāng)前邏輯段內(nèi))和段間調(diào)用。在執(zhí)行調(diào)用指令后,CPU要保護(hù)斷點(diǎn)。對(duì)段內(nèi)調(diào)用是將其下一條指令的偏移地址壓人堆棧,對(duì)段間調(diào)用則要保護(hù)其下一條指令的偏移地址和段基地址,然后將子程序人口地址賦給IP(或CS和IP).中斷指令是因一些突發(fā)事件而使CPU暫時(shí)中止它正在運(yùn)行的程序,轉(zhuǎn)去執(zhí)行一組專門的中斷服務(wù)程序,并在執(zhí)行完后返回原被中止處繼續(xù)執(zhí)行原程序。它是隨機(jī)的。在響應(yīng)中斷后CPU不僅要保護(hù)斷點(diǎn)(即INT指令下一條指令的段地址和偏移地址),還要將標(biāo)志寄存器FLAGS壓入堆棧保存。3.9試判斷下列程序執(zhí)行后,BX中的內(nèi)容MOVCL,3MOVBX,0B7HROLBX,1RORBX,CL解:該程序段是首先將BX內(nèi)容不帶進(jìn)位循環(huán)左移1位,再循環(huán)右移3位。即相當(dāng)于將原BX內(nèi)容不帶進(jìn)位循環(huán)右移2位,故結(jié)果為:(BX)=0C02DH3.10按下列要求寫出相應(yīng)的指令或程序段。寫出兩條使AX內(nèi)容為0的指令。使BL寄存器中的高4位和低4位互換。屏蔽CX寄存器的bll,b7和b3位。(4)測(cè)試DX中的b0和b8位是否為1。解:(1)MOVAX,0XORAX,AXAX寄存器自身相異或,可使其內(nèi)容清0(2)MOVCL,4ROLBL,CL將BL內(nèi)容循環(huán)左移4位,可實(shí)現(xiàn)其高4位和低4位的互換(3)ANDCX,0F777H;將CX寄存器中需屏蔽的位“與”0。也可用“或”指令實(shí)現(xiàn)(4)ANDDX,0101H;將需側(cè)試的位“與”1,其余“與”0屏蔽掉CMPDX,0101H;與0101H比較JZONE;若相等則表示b0和b8位同時(shí)為1.3.11分別指出以下兩個(gè)程序段的功能:(1)(2)MOVCX,l0CLDLEASI,FIRSTLEADI,1200HLEADI,SECONDMOVCX,0FOOHSTDXORAX,AXREPMOVSBREPSTOSW解:(1) 該段程序的功能是:將數(shù)據(jù)段中FIRST為最高地址的10個(gè)字節(jié)數(shù)據(jù)按減地址方向傳送到附加段SECOND為最高地址的向前10個(gè)單元中。(2) 將附加段中偏移地址為1200H單元開(kāi)始的0FOOH個(gè)字單元清0。執(zhí)行以下兩條指令后,標(biāo)志寄存器FLAGS的六個(gè)狀態(tài)位各為什么狀態(tài)?MOVAX,84A0HADDAX,9460H解:執(zhí)行ADD指令后,6個(gè)狀態(tài)標(biāo)志位的狀態(tài)分別為:在兩個(gè)16位數(shù)進(jìn)行加法運(yùn)算時(shí),對(duì)CF、ZF、SF和OF會(huì)產(chǎn)生影響,但對(duì)PF和AF標(biāo)志位,只有其低8位的運(yùn)算影響它們的狀態(tài)。各標(biāo)志位的狀態(tài)分別為:AF=0,PF=1,CF=1,ZF=0,SF=0,OF=1。3.12 將+46和-38分別乘以2,可應(yīng)用什么指令來(lái)完成?如果除以2呢?解:因?yàn)閷?duì)二進(jìn)制數(shù),每左移一位相當(dāng)于乘以2,右移一位相當(dāng)于除以2。所以,將+46和-38分別乘以2,可分別用邏輯左移指令(SHL)和算術(shù)左移指令(SAL)完成。SHL指令針對(duì)無(wú)符號(hào)數(shù),SAL指令針對(duì)有符號(hào)數(shù)。當(dāng)然,也可以分別用無(wú)符號(hào)數(shù)乘法指令MUL和有符號(hào)數(shù)乘法指令I(lǐng)MUL完成。如果是除以2,則進(jìn)行相反操作,即用邏輯右移指令SHR或無(wú)符號(hào)數(shù)除法指令DIV實(shí)現(xiàn)+46除以2的運(yùn)算,用算術(shù)右移指令SAR或有符號(hào)數(shù)除法指令I(lǐng)DIV實(shí)現(xiàn)-38除以2的運(yùn)算。3.14已知AX=8060H,DX=03F8H,端口PORT1的地址是48H,內(nèi)容為40H;PORT2的地址是84H,內(nèi)容為85H。請(qǐng)指出下列指令執(zhí)行后的結(jié)果。(1)OUTDX,AL(2)INAL,PORT1(3)OUTDX,AX(4)INAX,48H(5)OUTPORT2,AX解:將60H輸出到地址為03F8H的端口中。從P0RT1讀入一個(gè)字節(jié)數(shù)據(jù),執(zhí)行結(jié)果:(AL)=40H。將AX=8060H輸出到地址為03F8H的端口中。由48H端口讀人16位二進(jìn)制數(shù)。將8060H輸出到地址為84H的端口中。第4章匯編語(yǔ)言程序設(shè)計(jì)4.1請(qǐng)分別用DB、DW、DD偽指令寫出在DATA開(kāi)始的連續(xù)8個(gè)單元中依次存放數(shù)據(jù)11H、22H、33H、44H、55H、66H、77H、88H的數(shù)據(jù)定義語(yǔ)句.解:DB,DW,DD偽指令分別表示定義的數(shù)據(jù)為字節(jié)類型、字類型及雙字型其定義形式為:(1) DATADB11H,22H,33H,44H,55H,66H,77H,88HDATADW2211H,4433H,6655H,8877HDATADD44332211H,88776655H若程序的數(shù)據(jù)段定義如下,寫出各指令語(yǔ)句獨(dú)立執(zhí)行后的結(jié)果:DSEGSEGMENTDATA1DB10H,20H,30HDATA2DW10DUP(?)STRINGDB123'DSEGENDSMOVAL,DATA1MOVBX,OFFSETDATA2LEASI,STRINGADDDI,SI解:取變量DATA1的值.指令執(zhí)行后,(AL)=10H.變量DATA2的偏移地址.指令執(zhí)行后,(BX)=0003H.先取變量STRING的偏移地址送寄存器SI,之后送SI的內(nèi)容與DI的內(nèi)容相加并將結(jié)果送DI.指令執(zhí)行后,(SI)=0017H;(DI)=(DI)+0017H.試編寫求兩個(gè)無(wú)符號(hào)雙子長(zhǎng)數(shù)之和的程序.兩數(shù)分別在MEM1和MEM2單元中,和放在SUM單元.解:DSEGSEGMENTMEM1DW1122H,3344HMEM2DW5566H,7788HSUMDW2DUP(?)DSEGENDSCSEGSEGMENTASSUMECS:CSEG,DS:DSEGSTART:MOVAX,DSEGMOVDS,AXLEABX,MEM1LEASI,MEM2LEADI,SUMMOVCL,2CLCAGAIN:MOVAX,BXADCAX,SIMOVDI,AXADDBX,2ADDSI,2ADDDI,2LOOPAGAINHLTCSEGENDSENDSTART4.4試編寫程序,測(cè)試AL寄存器的第4位(bit4)是否為0?解:測(cè)試寄存器AL中某一位是否為0,可使用TEST指令、AND指令、移位指令等幾種方法實(shí)現(xiàn)。如:TESTAL,10HJZNEXT.NEXT:或者:MOVCL,4SHLAL,CLJNCNEXT.NEXT:.4.5試編寫程序,將BUFFER中的一個(gè)8位二進(jìn)制數(shù)轉(zhuǎn)換為ASCII碼,并按位數(shù)高低順序存放在ANSWER開(kāi)始的內(nèi)存單元中。解:DSEGSEGMENTBUFFERDB?ANSWERDB3DUP(?)DSEGENDSCSEGSEGMENTASSUMECS:CSEG,DS:DSEGSTART:MOVAX,DSEGMOVDS,AXMOVCX,3;最多不超過(guò)3為十進(jìn)制數(shù)(255)LEADI,ANSWER;DI指向結(jié)果存放單元XORAX,AXMOVAL,BUFFER;取要轉(zhuǎn)換的二進(jìn)制數(shù)MOVBL,0AH;基數(shù)10AGAIN:DIVBL;用除10取余的方法轉(zhuǎn)換ADDAH,30H;十進(jìn)制數(shù)轉(zhuǎn)換成ASCII碼MOVDI,AH;保存當(dāng)前的結(jié)果INCDI;指向下一個(gè)位保存單元ANDAL,AL;商為0?(轉(zhuǎn)換結(jié)束?)JZSTO;若結(jié)束,退出MOVAH,0LOOPAGAIN;否則循環(huán)繼續(xù)STO:MOVAX,4C00HINT21H;返回DOSCSEGENDSENDSTART4.6假設(shè)數(shù)據(jù)項(xiàng)定義如下:DATA1DBHELLO!GOODMORNING!DATA2DB20DUP(?)用串操作指令編寫程序段,使其分別完成以下功能:(1)從左到右將DATA1中的字符串傳送到DATA2中。(2)傳送完后,比較DATA1和DATA2中的內(nèi)容是否相同。(3)把DATA1中的第3和第4個(gè)字節(jié)裝入AX。(4)將AX的內(nèi)容存入DATA2+5開(kāi)始的字節(jié)單元中。解:(1)MOVAX,SEGDATA1MOVDS,AXMOVAX,SEGDATA2MOVES,AXLEASI,DATA1LEADI,DATA2MOVCX,20CLDREPMOVSB(2)LEASI,DATA1LEADI,DATA2MOVCX,20CLDREPECMPSB。(3)LEASI,DATA1ADDSI,2LODSW(4)LEADI,DATA2ADDDI,5STOSW4.7執(zhí)行下列指令后,AX寄存器的內(nèi)容是多少?TABLEDW10,20,30,40,50ENTRYDW3.MOVBX,OFFSETTABLEADDBX,ENTRYMOVAX,BX解:(AX)=1EOOH4.8編寫程序段,將STRING1中的最后20個(gè)字符移到STRING2中(順序不變)。解:首先確定STRING1中字符串的長(zhǎng)度,因?yàn)樽址亩x要求以$'符號(hào)結(jié)尾,可通過(guò)檢測(cè)$'符確定出字符串的長(zhǎng)度,設(shè)串長(zhǎng)度為COUNT,則程序如下:LEASI,STRING1LEADI,STRING2ADDSI,COUNT-20MOVCX,20CLDREPMOVSB4.9假設(shè)一個(gè)48位數(shù)存放在DX:AX:BX中,試編寫程序段,將該48位數(shù)乘以2.解:可使用移位指令來(lái)實(shí)現(xiàn)。首先將BX內(nèi)容邏輯左移一位,其最高位移入進(jìn)位位CF,之后AX內(nèi)容帶進(jìn)位位循環(huán)左移,使AX的最高位移入CF,而原CF中的內(nèi)容(即BX的最高位)移入AX的最低位,最后再將DX內(nèi)容帶進(jìn)位位循環(huán)左移一位,從而實(shí)現(xiàn)AX的最低位移入DX的最低位。SHLBX,1RCLAX,1RCLDX,14.10試編寫程序,比較AX,BX,CX中帶符號(hào)數(shù)的大小,并將最大的數(shù)放在AX中。解:比較帶符號(hào)數(shù)的大小可使用符號(hào)數(shù)比較指令JG等。CMPAX,BXJGNEXT1XCHGAX,BXNEXT1:CMPAX,CXJGSTOMOVAX,CXSTO:HLT4.11若接口03F8H的第1位(b1)和第3位(B3)同時(shí)為1,表示接口03FBH有準(zhǔn)備好的8位數(shù)據(jù),當(dāng)CPU將數(shù)據(jù)取走后,b1和b3就不再同時(shí)為1了。僅當(dāng)又有數(shù)據(jù)準(zhǔn)備好時(shí)才再同時(shí)為1。試編寫程序,從上述接口讀入200字節(jié)的數(shù)據(jù),并順序放在DATA開(kāi)始的地址中。解:即當(dāng)從輸入接口03F8H讀入的數(shù)據(jù)滿足xxxx1x1xB時(shí)可以從接口03FBH輸入數(shù)據(jù)。LEASI,DATAMOVCX,200NEXT:MOVDX,03F8HWAIT:INAL,DXANDAL,OAH;判斷bl和b3位是否同時(shí)為1CMPAL,OAHJNZWAIT;bl和b3位同時(shí)為1則讀數(shù)據(jù),否則等待MOVDX,O3FBHINAL,DXMOVSI,ALINCSILOOPNEXTHLT4.12畫圖說(shuō)明下列語(yǔ)句分配的存儲(chǔ)空間及初始化的數(shù)據(jù)值。(1)DATA1DB”BYTE,12,12H,2DUP(O,?,3)(2)DATA2DW4DUP(O,1,2),?,-5,256H解:(1)存儲(chǔ)空間分配情況如圖(a)所示。(2)存儲(chǔ)空間分配情況如圖(b)所示。第5章存儲(chǔ)器系統(tǒng)5.1內(nèi)部存儲(chǔ)器主要分為哪兩類?它們的主要區(qū)別是什么?解:(1)分為ROM和RAM。(2)它們之間的主要區(qū)別是:ROM在正常工作時(shí)只能讀出,不能寫入。RAM則可讀可寫。斷電后,ROM中的內(nèi)容不會(huì)丟失,RAM中的內(nèi)容會(huì)丟失。5.2為什么動(dòng)態(tài)RAM需要定時(shí)刷新?解:DRAM的存儲(chǔ)元以電容來(lái)存儲(chǔ)信息,由于存在漏電現(xiàn)象,電容中存儲(chǔ)的電荷會(huì)逐漸泄漏,從而使信息丟失或出現(xiàn)錯(cuò)誤。因此需要對(duì)這些電容定時(shí)進(jìn)行“刷新”。5.3CPU尋址內(nèi)存的能力最基本的因素取決于。解:地址總線的寬度。5.4試?yán)萌刂纷g碼將6264芯片接到8088系統(tǒng)總線上,使其所占地址范圍為32000H33FFFH。解:將地址范圍展開(kāi)成二進(jìn)制形式如下圖所示。芯片的容量為8X8KB,需要13根地址線A0A12。而剩下的高7位地址應(yīng)參加該芯片的地址譯碼。電路如圖所示:5.5內(nèi)存地址從20000H8BFFFH共有多少字節(jié)?解:共有8BFFFH20000H+1=6C000H個(gè)字節(jié)。或432KB。5.6若采用6264芯片構(gòu)成上述的內(nèi)存空間,需要多少片6264芯片?解:每個(gè)6264芯片的容量位8KB,故需432/8=54片。5.7設(shè)某微型機(jī)的內(nèi)存RAM區(qū)的容量位128KB,若用2164芯片構(gòu)成這樣的存儲(chǔ)器,需多少2164芯片?至少需多少根地址線?其中多少根用于片內(nèi)尋址?多少根用于片選譯碼?解:(1)每個(gè)2164芯片的容量為64KX1bit,共需128/64X8=16片。(2)128KB容量需要地址線17根。(3)16根用于片內(nèi)尋址。(4)1根用于片選譯碼。注意,用于片內(nèi)尋址的16根地址線要通過(guò)二選一多路器連到2164芯片,因?yàn)?164芯片是DRAM,高位地址與低位地址是分時(shí)傳送的。5.8現(xiàn)有兩片6116芯片,所占地址范圍為61000H61FFFH,試將它們連接到8088系統(tǒng)中。并編寫測(cè)試程序,向所有單元輸入一個(gè)數(shù)據(jù),然后再讀出與之比較,若出錯(cuò)則顯示“Wrong!“,全部正確則顯示”O(jiān)K!“。解:連接如下圖所示。測(cè)試程序段如下:OKDB'OK!',$WRONGDBWrong!',$MOVAX,6100HMOVES,AXMOVDI,0MOVCX,1000HMOVAL,55HREPSTOSBMOVDI,0MOVCX,1000HREPZSCASBJZDISP_OKLEADX,WRONGMOVAH,9INT21HHLTDISP_OK:LEADX,OKMOVAH,9INT21HHLT5.9甚什么是字?jǐn)U展?什么是位擴(kuò)展?用戶自己購(gòu)買內(nèi)存條進(jìn)行內(nèi)存擴(kuò)充,是在進(jìn)行何種存儲(chǔ)器擴(kuò)展?解:(1)當(dāng)存儲(chǔ)芯片的容量小于所需內(nèi)存容量時(shí),需要用多個(gè)芯片構(gòu)成滿足容量要求的存儲(chǔ)器,這就是字?jǐn)U展。(2)當(dāng)存儲(chǔ)芯片每個(gè)單元的字長(zhǎng)小于所需內(nèi)存單元字長(zhǎng)時(shí),需要用多個(gè)芯片構(gòu)成滿足字長(zhǎng)要求的存儲(chǔ)模塊,這就是位擴(kuò)展。(3)用戶在市場(chǎng)上購(gòu)買內(nèi)存條進(jìn)行內(nèi)存擴(kuò)充,所做的是字?jǐn)U展的工作。5.1074LS138譯碼器的接線圖如教材第245頁(yè)的圖5-47所示,試判斷其輸出端YO#、Y3#、Y5#和Y7#所決定的內(nèi)存地址范圍。解:因?yàn)槭遣糠值刂纷g碼(A17不參加譯碼),故每個(gè)譯碼輸出對(duì)應(yīng)2個(gè)地址范圍:Y0#:00000H01FFFH和20000H21FFFHY3#:06000H07FFFH和26000H27FFFHY5#:0A000H0BFFFH和2A000H2BFFFHY7#:0E000H0FFFFH和2E000H2FFFFH5.11某8088系統(tǒng)用2764ROM芯片和6264SRAM芯片構(gòu)成16KB的內(nèi)存。其中,ROM的地址范圍為0FE000H0FFFFFH,RAM的地址范圍為0F0000H0F1FFFH。試?yán)?4LS138譯碼,畫出存儲(chǔ)器與CPU的連接圖,并標(biāo)出總線信號(hào)名稱。解:連接如下圖所示。5.12敘述EPROM的編程過(guò)程,并說(shuō)明EPROM和EEPROM的不同點(diǎn)。(不要求)解:(1)對(duì)EPROM芯片的編程過(guò)程詳見(jiàn)教材第215217頁(yè)。(2)EPROM與EEPROM的不同之處為:。EPROM用紫外線擦除,EEPROM用電擦除。EPROM是整片擦除,EEPROM可以整片擦除,也可以逐個(gè)字節(jié)地擦除。5.13試說(shuō)明FLASHEEPROM芯片的特點(diǎn)及28F040的編程過(guò)程。(不要求)解:(1)特點(diǎn)是:它結(jié)合了RAM和ROM的優(yōu)點(diǎn),讀寫速度接近于RAM,斷電后信息又不會(huì)丟失。(2)28F040的編程過(guò)程詳見(jiàn)教材第222223頁(yè)。5.14什么是Cache?它能夠極大地提高計(jì)算機(jī)的處理能力是基于什么原理?解:(1)Cache是位于CPU與主存之間的高速小容量存儲(chǔ)器。(2)它能夠極大地提高計(jì)算機(jī)的處理能力,是基于程序和數(shù)據(jù)訪問(wèn)的局部性原理。5.15若主存DRAM的的存取周期為70ns,Cache的存取周期為5ns,有它們構(gòu)成的存儲(chǔ)器的平均存取周期是多少?解:平均存取周期約為70X0.1ns+5X0.9ns=11.5ns第6章輸入輸出和中斷技術(shù)6.1I/O接口的主要功能有哪些?有哪兩種編址方式?在8088/8086系統(tǒng)中采用哪一種編址方式?解:I/O接口主要需具有以下幾種功能:(1)I/O地址譯碼與設(shè)備選擇。保證任一時(shí)刻僅有一個(gè)外設(shè)與CPU進(jìn)行數(shù)據(jù)傳送。(2)信息的輸入輸出,并對(duì)外設(shè)隨時(shí)進(jìn)行監(jiān)測(cè)、控制和管理。必要時(shí),還可以通過(guò)I/O接口向CPU發(fā)出中斷請(qǐng)求。(3)命令、數(shù)據(jù)和狀態(tài)的緩沖與鎖存。以緩解CPU與外設(shè)之間工作速度的差異,保證信息交換的同步。(4)信號(hào)電平與類型的轉(zhuǎn)換。I/O接口還要實(shí)現(xiàn)信息格式轉(zhuǎn)換、電平轉(zhuǎn)換、碼制轉(zhuǎn)換、傳送管理以及聯(lián)絡(luò)控制等功能。I/O端口的編址方式通常有兩種:一是與內(nèi)存單元統(tǒng)一編址,二是獨(dú)立編址。8088/8086系統(tǒng)采用I/O端口獨(dú)立編址方式。6.2試比較4種基本輸入輸出方法的特點(diǎn)。(不要求)解:在微型計(jì)算機(jī)系統(tǒng)中,主機(jī)與外設(shè)之間的數(shù)據(jù)傳送有4種基本的輸入輸出方式:無(wú)條件傳送方式、查詢工作方式、中斷工作方式、直接存儲(chǔ)器存取DMA)方式。它們各自具有以下特點(diǎn):(1)無(wú)條件傳送方式適合與簡(jiǎn)單的、慢速的、隨時(shí)處于“準(zhǔn)備好”接收或發(fā)送數(shù)據(jù)的外部設(shè)備,數(shù)據(jù)交換與指令的執(zhí)行同步,控制方式簡(jiǎn)單。(2)查詢工作方式針對(duì)并不隨時(shí)“準(zhǔn)備好”、且滿足一定狀態(tài)才能實(shí)現(xiàn)數(shù)據(jù)的輸入/輸出的簡(jiǎn)單外部設(shè)備,其控制方式也比較簡(jiǎn)單,當(dāng)CPU的效率比較低。(3)中斷工作方式是由外部設(shè)備作為主動(dòng)的一方,在需要時(shí)向CPU提出工作請(qǐng)求,CPU在滿足響應(yīng)條件時(shí)響應(yīng)該請(qǐng)求并執(zhí)行相應(yīng)的中斷處理程序。這種工作方式使CPU的效率提高,但控制方式相對(duì)較復(fù)雜。(4)DMA方式適合于高速外設(shè),是4種基本輸入/輸出方式中速度最高的一種。6.3主機(jī)與外部設(shè)備進(jìn)行數(shù)據(jù)傳送時(shí),采用哪一種傳送方式,CPU的效率最高?(不要求)解:使用DMA傳送方式CPU的效率最高。這是由DMA的工作性質(zhì)所決定的。6.4利用三態(tài)門芯片74LS244作為輸入接口,接口地址為40FBH,試畫出其與8088系統(tǒng)總線的連接圖。解:16位地址信號(hào)通過(guò)譯碼電路與74LS244芯片連接。其連接如下圖所示。6.5某輸入接口的地址為0E54H,輸出接口的地址為01FBH,分別利用74LS244和74LS273作為輸入和輸出接口。試編寫程序,使當(dāng)輸入接口的bit1、bit4和bit7位同時(shí)為1時(shí),CPU將內(nèi)存中DATA為首址的20個(gè)單元的數(shù)據(jù)從輸出接口輸出;若不滿足上述條件則等待。解:首先判斷由輸入接口讀入數(shù)據(jù)的狀態(tài),若滿足條件,則通過(guò)輸出接口輸出一個(gè)單元的數(shù)據(jù);之后再判斷狀態(tài)是否滿足,直到20個(gè)單元的數(shù)據(jù)都從輸出接口輸出。LEASI,DATA取數(shù)據(jù)偏移地址MOVCL,20數(shù)據(jù)長(zhǎng)度送CLAGAIN:MOVDX,0E54HWAITT:INAL,DX讀入狀態(tài)值A(chǔ)NDAL,92H屏蔽掉不相關(guān)位,僅保留bit1、bit4和bit7位狀態(tài)CMPAL,92H判斷bit1、bit4和bit7位是否全為1JNZWAITT不滿足bit1、bit4和bit7位同時(shí)為1則等待MOVDX,01FBHMOVAL,SIOUTDX,AL滿足條件則輸出一個(gè)單元數(shù)據(jù)INCSI修改地址指針LOOPAGAIN若20個(gè)單元數(shù)據(jù)未傳送完則循環(huán)6.68088/8086系統(tǒng)如何確定硬件中斷服務(wù)程序的入口地址?解:8088/8086系統(tǒng)的硬件中斷包括非屏蔽和可屏蔽兩種中斷請(qǐng)求。每個(gè)中斷源都有一個(gè)與之相對(duì)應(yīng)的中斷類型碼n。系統(tǒng)規(guī)定所有中斷服務(wù)子程序的首地址都必須放在中斷向量表中,其在表中的存放地址=nX4,(向量表的段基地址為0000H)。即子程序的入口地址為(0000H:nX4)開(kāi)始的4個(gè)單元中,低位字(2個(gè)字節(jié))存放入口地址的偏移量,高位字存放入口地址的段基地址。6.7中斷向量表的作用是什么?如何設(shè)置中斷向量表?解:中斷向量表用于存放中斷服務(wù)子程序的入口地址,位于內(nèi)存的最低1K字節(jié)(即內(nèi)存中0000H003FFH區(qū)域),共有256個(gè)表項(xiàng)。設(shè)置中斷向量表就是將中斷服務(wù)程序首地址的偏移量和段基址放入中斷向量表中。如:將中斷服務(wù)子程序CLOCK的入口地址置入中斷向量表的程序如下:MOVAX,0000HMOVDS,AX置中斷向量表的段基地址MOVSI,中斷類型碼X4置存放子程序入口地址的偏移地址MOVAX,OFFSETCLOCKMOVSI,AX將子程序入口地址的偏移地址送入中斷向量表MOVAX,SEGCLOCKMOVSI+2,AX將子程序入口地址的段基址送入中斷向量表6.8INTR中斷和NMI中斷有什么區(qū)別?解:INTR中斷為可屏蔽中斷,中斷請(qǐng)求信號(hào)高電平有效。CPU能否響應(yīng)該請(qǐng)求要看中斷允許標(biāo)志位IF的狀態(tài),只有當(dāng)IF=1時(shí),CPU才可能響應(yīng)中斷。NMI中斷為非屏蔽中斷,請(qǐng)求信號(hào)為上升沿有效,對(duì)它的響應(yīng)不受IF標(biāo)志位的約束,CPU只要當(dāng)前指令執(zhí)行結(jié)束就可以響應(yīng)NMI請(qǐng)求。6.9 在中斷服務(wù)程序的入口處,為什么常常要使用開(kāi)中斷指令?解:中斷服務(wù)程序分為兩種,一種是在進(jìn)入服務(wù)子程序后不允許被中斷,另一種則可以被中斷。在入口處使用開(kāi)中斷指令表示該中斷服務(wù)程序是允許被中斷的服務(wù)程序,即在進(jìn)入服務(wù)子程序后允許CPU響應(yīng)比它級(jí)別高的中斷請(qǐng)求。6.10試說(shuō)明8088CPU可屏蔽中斷的響應(yīng)過(guò)程。解:可屏蔽中斷的響應(yīng)過(guò)程主要分為5個(gè)步驟,即:(1) 中斷請(qǐng)求。外設(shè)在需要時(shí)向CPU的INTR端發(fā)出一個(gè)高電平有效的中斷請(qǐng)求信號(hào)。(2) 中斷判優(yōu)。若IF=1,則識(shí)別中斷源并找出優(yōu)先級(jí)最高的中斷源先予以響應(yīng),在其處理完后,再響應(yīng)級(jí)別較低的中斷源的請(qǐng)求。中斷響應(yīng)。中斷優(yōu)先級(jí)確定后,發(fā)出中斷的中斷源中優(yōu)先級(jí)別最高的中斷請(qǐng)求就被送到CPU。(4)中斷處理。(5) 中斷返回。中斷返回需執(zhí)行中斷返回指令I(lǐng)RET,其操作正好是CPU硬件在中斷響應(yīng)時(shí)自動(dòng)保護(hù)斷點(diǎn)的逆過(guò)程。即CPU會(huì)自動(dòng)地將堆棧內(nèi)保存的斷點(diǎn)信息彈出到IP、CS和FLAG中,保證被中斷的程序從斷點(diǎn)處繼續(xù)往下執(zhí)行。6.11CPU滿足什么條件能夠響應(yīng)可屏蔽中斷?解:(1)CPU要處于開(kāi)中斷狀態(tài),即IF=1,才能響應(yīng)可屏蔽中斷。(2)當(dāng)前指令結(jié)束。(2) 當(dāng)前沒(méi)有發(fā)生復(fù)位(RESET)、保持(HOLD)和非屏蔽中斷請(qǐng)求(NMI)。(4)若當(dāng)前執(zhí)行的指令是開(kāi)中斷指令(STI)和中斷返回指令(IRET),則在執(zhí)行完該指令后再執(zhí)行一條指令,CPU才能響應(yīng)INTR請(qǐng)求。(5) 對(duì)前綴指令,如LOCK、REP等,CPU會(huì)把它們和它們后面的指令看作一個(gè)整體,直到這個(gè)整體指令執(zhí)行完,方可響應(yīng)INTR請(qǐng)求。6.14單片8259A能夠管理多少級(jí)可屏蔽中斷?若用3片級(jí)聯(lián)能管理多少級(jí)可屏蔽中斷?(不要求)解:因?yàn)?259A有8位可屏蔽中斷請(qǐng)求輸入端,故單片8259A能夠管理8級(jí)可屏蔽中斷。若用3片級(jí)聯(lián),即1片用作主控芯片,兩片作為從屬芯片,每一片從屬芯片可管理8級(jí),則3片級(jí)聯(lián)共可管理22級(jí)可屏蔽中斷。6.16 具備何種條件能夠作輸入接口?何種條件能夠作輸出接口?解:對(duì)輸入接口要求具有對(duì)數(shù)據(jù)的控制能力,對(duì)輸出接口要求具有對(duì)數(shù)據(jù)的鎖存能力。已知(SP)=0100H,(SS)=3500H,(CS)=9000H,(IP)=0200H,(00020H)=7FH,(00021H)=1AH,(00022H)=07H,(00023H)=6CH,在地址為90200H開(kāi)始的連續(xù)兩個(gè)單元中存放一條兩字節(jié)指令I(lǐng)NT8。試指出在執(zhí)行該指令并進(jìn)入相應(yīng)的中斷例程時(shí),SP、SS、IP、CS寄存器的內(nèi)容以及SP所指向的字單元的內(nèi)容是什么?解:CPU在響應(yīng)中斷請(qǐng)求時(shí)首先要進(jìn)行斷點(diǎn)保護(hù),即要依次將FLAGS和INT下一條指令的CS、IP寄存器內(nèi)容壓入堆棧,亦即棧頂指針減6,而SS的內(nèi)容不變。INT8指令是一條兩字節(jié)指令,故其下一條指令的IP=0200H+2=0202Ho中斷服務(wù)子程序的入口地址則存放在中斷向量表(8X4)所指向的連續(xù)4個(gè)單元中。所以,在執(zhí)行中斷指令并進(jìn)入響應(yīng)的中斷例程時(shí),以上各寄存器的內(nèi)容分別為:SP=0100H-6=00FAHSS=3500HIP=8X4=1A7FHCS=(8X4)+2=6C07HSP=0200H+2=0202H第7章常用數(shù)字接口電路7.1一般來(lái)講,接口芯片的讀寫信號(hào)應(yīng)與系統(tǒng)的哪些信號(hào)相連?解:一般來(lái)講,接口芯片的讀寫信號(hào)應(yīng)與系統(tǒng)總線信號(hào)中的#IOR(接口讀)或#IOW(接口寫)信號(hào)相連。7.2試說(shuō)明8253芯片的六種工作方式。其時(shí)鐘信號(hào)CLK和門控信號(hào)GATE分別起什么作用?解:可編程定時(shí)/計(jì)數(shù)器8253具有六種不同的工作方式,其中:方式0:軟件啟動(dòng)、不自動(dòng)重復(fù)計(jì)數(shù)。在寫入控制字后OUT端變低電平,計(jì)數(shù)結(jié)束后OUT端輸出高電平,可用來(lái)產(chǎn)生中斷請(qǐng)求信號(hào),故也稱為計(jì)數(shù)結(jié)束產(chǎn)生中斷的工作方式。方式1:硬件啟動(dòng)、不自動(dòng)重復(fù)計(jì)數(shù)。所謂硬件啟動(dòng)是在寫入計(jì)數(shù)初值后并不開(kāi)始計(jì)數(shù),而是要等門控信號(hào)GATE出現(xiàn)由低到高的跳變后,在下一個(gè)CLK脈沖的下降沿才開(kāi)始計(jì)數(shù),此時(shí)OUT端立刻變?yōu)榈碗娖?。?jì)數(shù)結(jié)束后,OUT端輸出高電平,得到一個(gè)寬度為計(jì)數(shù)初值N個(gè)CLK脈沖周期寬的負(fù)脈沖。方式2:既可軟件啟動(dòng),也可以硬件啟動(dòng)??勺詣?dòng)重復(fù)計(jì)數(shù)。在寫入控制字后,OUT端變?yōu)楦唠娖?。?jì)數(shù)到最后一個(gè)時(shí)鐘脈沖時(shí)OUT端變?yōu)榈碗娖?,再?jīng)過(guò)一個(gè)CLK周期,計(jì)數(shù)值減到零,OUT又恢復(fù)為高電平。之后再自動(dòng)轉(zhuǎn)入計(jì)數(shù)初值,并重新開(kāi)始新的一輪計(jì)數(shù)。方式2下OUT端會(huì)連續(xù)輸出寬度為Tclk的負(fù)脈沖,其周期為NXTcIk,所以方式2也稱為分頻器,分頻系數(shù)為計(jì)數(shù)初值No方式3:也是一種分頻器,也有兩種啟動(dòng)方式,自動(dòng)重復(fù)計(jì)數(shù)。當(dāng)計(jì)數(shù)初值N為偶數(shù)時(shí),連續(xù)輸出對(duì)稱方波(即N/2個(gè)CLK脈沖低電平,N/2個(gè)CLK脈沖高電平),頻率為(1/N)XFcIk。若N為奇數(shù),則輸出波形不對(duì)稱,其中(N+1)/2個(gè)時(shí)鐘周期高電平,(N1)/2個(gè)時(shí)鐘周期低電平。方式4和方式5都是在計(jì)數(shù)結(jié)束后輸出一個(gè)CLK脈沖周期寬的負(fù)脈沖,且均為不自動(dòng)重復(fù)計(jì)數(shù)方式。區(qū)別在方式4是軟件啟動(dòng),而方式5為硬件啟動(dòng)。時(shí)鐘信號(hào)CLK為8253芯片的工作基準(zhǔn)信號(hào)。GATE信號(hào)為門控信號(hào)。在軟件啟動(dòng)時(shí)要求GATE在計(jì)數(shù)過(guò)程中始終保持高電平;而對(duì)硬件啟動(dòng)的工作方式,要求在寫入計(jì)數(shù)初值后GATE端出現(xiàn)一個(gè)由低到高的正跳變,啟動(dòng)計(jì)數(shù)。7.38253可編程定時(shí)/計(jì)數(shù)器有兩種啟動(dòng)方式,在軟件啟動(dòng)時(shí),要使計(jì)數(shù)正常進(jìn)行,GATE端必須為()電平,如果是硬件啟動(dòng)呢?解:在軟件啟動(dòng)時(shí),要使計(jì)數(shù)正常進(jìn)行,GATE端必須為高電平;如果是硬件啟動(dòng),則要在寫入計(jì)數(shù)初值后使GATE端出現(xiàn)一個(gè)由低到高的正跳變,以啟動(dòng)計(jì)數(shù)。7.4若8253芯片的接口地址為DODOHD0D3H,時(shí)鐘信號(hào)頻率為2MHz。現(xiàn)利用計(jì)數(shù)器0、1、2分別產(chǎn)生周期為10us的對(duì)稱方波及每1ms和1s產(chǎn)生一個(gè)負(fù)脈沖,試畫出其與系統(tǒng)的電路連接圖,并編寫包括初始化在內(nèi)的程序。解:根據(jù)題目要求可知,計(jì)數(shù)器0(CNT0)工作于方式3,計(jì)數(shù)器1(CNT1)和計(jì)數(shù)器2(CNT2)工作于方式2。時(shí)鐘頻率2MHz,即周期為0.5us,從而得出各計(jì)數(shù)器的計(jì)數(shù)初值分別為:CNT0:10us/0.5us=20CNT1:1ms/0.5us=2000CNT2:1s/0.5us=2X1000000顯然,計(jì)數(shù)器2的計(jì)數(shù)初值已超出了16位數(shù)的表達(dá)范圍,需經(jīng)過(guò)一次中間分頻,可將OUT1端的輸出脈沖作為計(jì)數(shù)器2的時(shí)鐘頻率。這樣,CNT2的計(jì)數(shù)初值就等于1s/1ms=1000。線路連接如圖所示。82538253的初始化程序如下:MOVDX,0D0D3HMOVAL,16H;計(jì)數(shù)器0,低8位計(jì)數(shù),方式3OUTDX,ALMOVAL,74H;計(jì)數(shù)器1,雙字節(jié)計(jì)數(shù),方式2OUTDX,ALMOVAL,0B4H;計(jì)數(shù)器2,雙字節(jié)計(jì)數(shù),方式2OUTDX,ALMOVDX,0D0D0HMOVAL,20;送計(jì)數(shù)器0的計(jì)數(shù)初值OUTDX,ALMOVDX,0D0D1HMOVAX,2000;送計(jì)數(shù)器1的計(jì)數(shù)初值OUTDX,ALMOVAL,AHOUTDX,ALMOVDX,0D0D2HMOVAX,1000;送計(jì)數(shù)器2的計(jì)數(shù)初值OUTDX,ALMOVAL,AHOUTDX,AL7.5某一計(jì)算機(jī)應(yīng)用系統(tǒng)采用8253芯片的計(jì)數(shù)器0作頻率發(fā)生器,輸出頻率為500Hz;用計(jì)數(shù)器1產(chǎn)生1000Hz的連續(xù)方波信號(hào),輸入8253的時(shí)鐘頻率為1.19MHz。試問(wèn):初始化時(shí)送到計(jì)數(shù)器0和計(jì)數(shù)器1的計(jì)數(shù)初值分別為多少?計(jì)數(shù)器1工作于什么方式下?解:計(jì)數(shù)器0工作于方式2,其計(jì)數(shù)初值=1.19MHz/500Hz=2380計(jì)數(shù)器1工作于方式3,其計(jì)數(shù)初值=1.19MHz/1KHz=11907.6若所用8253芯片用軟件產(chǎn)生一次性中斷,最好采用哪種工作方式?現(xiàn)用計(jì)數(shù)器0對(duì)外部脈沖計(jì)數(shù),當(dāng)計(jì)滿10000個(gè)脈沖時(shí)產(chǎn)生中斷,請(qǐng)寫出工作方式控制字及計(jì)數(shù)值。解:若8253用軟件產(chǎn)生一次性中斷,最好采用方式0,即計(jì)數(shù)結(jié)束產(chǎn)生中斷的工作方式。其方式控制字為:00110000B。計(jì)數(shù)初值=100007.7試比較并行通信與串行通信的特點(diǎn)。解:并行通信是在同一時(shí)刻發(fā)送或接收一個(gè)數(shù)據(jù)的所有二進(jìn)制位。其特點(diǎn)是接口數(shù)據(jù)的通道寬,傳送速度快,效率高。但硬件設(shè)備的造價(jià)較高,常用于高速度、短傳輸距離的場(chǎng)合。串行通信是將數(shù)據(jù)逐位的傳送。其特點(diǎn)是傳送速度相對(duì)較慢,但設(shè)備簡(jiǎn)單,需要的傳輸線少,成本較低。所以常用于遠(yuǎn)距離通信。7.9在對(duì)8255的C口進(jìn)行初始化為按位置位或復(fù)位時(shí),寫入的端口地址應(yīng)是()地址。解:應(yīng)是(8255的內(nèi)部控制寄存器)地址。7.10某8255芯片的地址范圍為A380HA383H,工作于方式0,A口、B口為輸出口,現(xiàn)欲將PC4置“0”,PC7置“1”,試編寫初始化程序。解:該8255芯片的初始化程序包括置方式控制字及C口的按位操作控制字。程序如下:MOVDX,0A383H;內(nèi)部控制寄存器地址送DXMOVAL,80H;方式控制字OUTDX,ALMOVAL,08H;PC4置0OUTDX,ALMOVAL,0FH;PC7置1OUTDX,AL7.11設(shè)8255芯片的接口地址范圍為03F8H03FBH,A組B組均工作于方式0,A口作為數(shù)據(jù)輸出口,C口低4位作為控制信號(hào)輸入口,其他端口未使用。試畫出該片8255芯片與系統(tǒng)的電路連接圖,并編寫初始化程序。解:8255芯片與系統(tǒng)的電路連接如圖所示。由題目知,不需對(duì)C口置位控制字,只需對(duì)8255置方式控制字,故其初始化程序如下:MOVDX,03FBHMOVAL,81HOUTDX,AL7.12已知某8088微機(jī)系統(tǒng)的I/0接口電路框圖如教材中圖7-47所示。試完成:(1)根據(jù)圖中接線,寫出8255芯片、8253芯片各端口的地址。(2)編寫8255芯片和8253芯片的初始化程序。其中,8253芯片的OUT1端輸出100Hz方波,8255芯片的A口為輸出,B口和C口為輸入。(3)為8255芯片編寫一個(gè)I/O控制子程序,其功能為:每調(diào)用一次,先檢測(cè)PC0的狀態(tài),若PC0=0,則循環(huán)等待;若PC0=1,可從PB口讀取當(dāng)前開(kāi)關(guān)K的位置(07),經(jīng)轉(zhuǎn)換計(jì)算從A口的PA0PA3輸出該位置的二進(jìn)制編碼,供LED顯示。解:(1)8255芯片的地址范圍為:8000HFFFFH8253芯片的地址范圍為:0000H7FFFH(2);初始化8255芯片MOVDX,8003HMOVAL,8BH;方式控制字,方式0,A口輸出,B口和C口輸入OUTDX,AL;初始化8253MOVDX,0003H;內(nèi)部寄存器口地址MOVAL,76H;計(jì)數(shù)器1,先寫低8位/后寫高8位,方式3,二進(jìn)制計(jì)數(shù)OUTDX,ALMOVDX,0001H;計(jì)數(shù)器1端口地址MOVAX,10000;設(shè)計(jì)數(shù)初值=10000OUTDX,ALMOVAL,AHOUTDX,AL(3);8255芯片的控制子程序;定義顯示開(kāi)關(guān)位置的字形譯碼數(shù)據(jù)DATASEGMENTBUFFERDB3FH,06H,5BH,0FH,66H,6DH,7CH,07HDATAENDS;CODESEGMENTASSUMECS:CODE,DS:DATAMAINPROCPUSHDSMOVAX,DATAMOVDS,AXCALLDISPPOPDXRETMAINENDP;輸出開(kāi)關(guān)位置的二進(jìn)制碼程序DISPPROCPUSHCXPUSHSIXORCX,CXCLCLEASI,BUFFERMOVDX,8002H;C口地址WAITT:INAL,DX;C口狀態(tài)TESTAL,01HJZWAITTMOVDX,8001H;讀B口的開(kāi)關(guān)位置INAL,DXNEXT:SHRAL,1INCCXJCNEXT;沒(méi)有接地則移動(dòng)DECCXADDSI,CX;查表,CX為開(kāi)關(guān)位置MOVAL,SIMOVDX,8000HOUTDX,AL;POPSIPOPCXRETDISPENDPCODEENDSENDMAIN7.13試說(shuō)明串行通信的數(shù)據(jù)格式。解:串行通信通常包括兩種方式,即同步通信和異步通信,二者因通信方式的不同而有不同的數(shù)據(jù)格式,其數(shù)據(jù)格式可參見(jiàn)教材第326頁(yè)及327頁(yè)圖7-34和圖7-35

注意事項(xiàng)

本文(《微型計(jì)算機(jī)原理與接口技術(shù)》清華大學(xué)出版社 馮博琴 吳寧主編 課后答案)為本站會(huì)員(z****2)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!