完整word版計(jì)算機(jī)組成原理課后習(xí)題答案第五版白中英

上傳人:無*** 文檔編號(hào):86051047 上傳時(shí)間:2022-05-07 格式:DOC 頁數(shù):69 大小:2.95MB
收藏 版權(quán)申訴 舉報(bào) 下載
完整word版計(jì)算機(jī)組成原理課后習(xí)題答案第五版白中英_第1頁
第1頁 / 共69頁
完整word版計(jì)算機(jī)組成原理課后習(xí)題答案第五版白中英_第2頁
第2頁 / 共69頁
完整word版計(jì)算機(jī)組成原理課后習(xí)題答案第五版白中英_第3頁
第3頁 / 共69頁

下載文檔到電腦,查找使用更方便

10 積分

下載資源

還剩頁未讀,繼續(xù)閱讀

資源描述:

《完整word版計(jì)算機(jī)組成原理課后習(xí)題答案第五版白中英》由會(huì)員分享,可在線閱讀,更多相關(guān)《完整word版計(jì)算機(jī)組成原理課后習(xí)題答案第五版白中英(69頁珍藏版)》請(qǐng)?jiān)谘b配圖網(wǎng)上搜索。

1、(完整word版)計(jì)算機(jī)組成原理課后習(xí)題答案(第五版一白中英)親愛的讀者:本文內(nèi)容由我和我的同事精心收集整理后編輯發(fā)布到文 庫,發(fā)布Z前我們對(duì)文屮內(nèi)容進(jìn)行詳細(xì)的校對(duì),但難免 會(huì)有錯(cuò)誤的地方,如果有錯(cuò)誤的地方請(qǐng)您評(píng)論區(qū)留言, 我們予以糾正,如果本文檔對(duì)您有幫助,請(qǐng)您下載收藏以便隨時(shí)調(diào)用。下面是本文詳細(xì)內(nèi)容。最后最您生活愉快o(n_n)o計(jì)算機(jī)組成原理第五版習(xí)題答案1計(jì)算機(jī)組成原理第五版習(xí)題答案第一章I第二章3第三章14第四章19計(jì)算機(jī)組成原理第五版習(xí)題答案第一章1.模擬計(jì)算機(jī)的特點(diǎn)是數(shù)值由連續(xù)量來表示,運(yùn)算過程也是連續(xù)的。數(shù)字計(jì)算機(jī) 的主要特點(diǎn)是按位運(yùn)算,并且不連續(xù)地跳動(dòng)計(jì)算。模擬計(jì)算機(jī)用電壓表

2、示數(shù) 據(jù),釆用電壓組合和 測(cè)量值的計(jì)算方式,盤上連線的控制方式,而數(shù)字計(jì)算機(jī) 用數(shù)字0和1表示數(shù)據(jù),采用 數(shù)字計(jì)數(shù)的計(jì)算方式,程序控制的控制方式。數(shù) 字計(jì)算機(jī)與模擬計(jì)算機(jī)相比,精度高,數(shù)據(jù)存儲(chǔ)量大,邏輯判斷能力強(qiáng)。2.3.4.數(shù)字計(jì)算機(jī)可分為專用計(jì)算機(jī)和通用計(jì)算機(jī),是根據(jù)計(jì)算機(jī)的效率、速度、價(jià) 格、運(yùn)行的經(jīng)濟(jì)性和適應(yīng)性來劃分的??茖W(xué)計(jì)算、自動(dòng)控制、測(cè)量和測(cè)試、信息處理、教育和衛(wèi)生、家用電工智能。主要設(shè)計(jì)思想是:采用存儲(chǔ)程序的方式,編制好的程序和數(shù)據(jù)存方工儲(chǔ)器中,計(jì)算機(jī)可以在無人干預(yù)的情況下自動(dòng)完成逐條取出指令和執(zhí)衍指令的 任務(wù):在機(jī)器內(nèi)部,指令利數(shù)據(jù)均以二進(jìn)制碼表示,指令在存按執(zhí)行順序存放。主

3、要組成部分有:運(yùn) 算器、邏輯器、存儲(chǔ)器 輸押殳備和輸出設(shè)備。存儲(chǔ)器所有存儲(chǔ)單元的總數(shù)稱為存儲(chǔ)器的存儲(chǔ)容量廠每務(wù)存儲(chǔ)單元都有編號(hào), 稱為單元地址。如果某字代表要處理的數(shù)據(jù),稱為數(shù)據(jù)字。如果某字為一條 指令,稱為指令字。計(jì)算機(jī)硬件可直接執(zhí)行的每一個(gè)基本的算術(shù)運(yùn)算或邏輯運(yùn)算操作稱為一條指 令,而解算某一問題的一串指令序列,稱為程取指周期中從內(nèi)存讀出的信息流是指令流息流是數(shù)據(jù)流。半導(dǎo)體存儲(chǔ)器稱為內(nèi)存,存儲(chǔ)容量?jī)?nèi)存和外 存共同用來保存二進(jìn)制數(shù)據(jù)?理器,簡(jiǎn)稱CPU,它用來控制 與主機(jī)聯(lián)系的橋梁,它的作斥 地工作。計(jì)算機(jī)的系統(tǒng)軟件包:化使用方法, 用程序是用戶; 10.在早期的訐算機(jī)屮,人們是直接用機(jī)器語言

4、來編寫程序的,這種程序稱為手編程序程序;后來,為了編寫程序方便利提高使用效率,人們使用匯編語寫程序,稱為匯編程序;為了進(jìn)一步實(shí)現(xiàn)程序自動(dòng)化和便于程序交流, 悉具體計(jì)算機(jī)的人 也能很方便地使用計(jì)算機(jī),人們又創(chuàng)造了算法語言,用 算済語言編寫的程序稱為源程序,源程序通過編譯系統(tǒng)產(chǎn)生編譯程序,也可通 過解釋系統(tǒng)進(jìn)行解釋執(zhí)行;隨著計(jì)算機(jī)技術(shù)的日益發(fā)展,人們又創(chuàng)造出操作系5.6.7.8.9.行器周期中從內(nèi)存讀出的信盤存儲(chǔ)器和光盤存儲(chǔ)器稱為外存, 運(yùn)算器和控制器合在一起稱為中央處 及進(jìn)行算術(shù)邏輯運(yùn)算。適配器是外闈設(shè)備 出于-個(gè)轉(zhuǎn)換器,使主機(jī)和外圉設(shè)備并行協(xié)調(diào)程序和應(yīng)用程序。系統(tǒng)程序用來簡(jiǎn)化程序設(shè)計(jì),簡(jiǎn) :機(jī)

5、的使用效率,發(fā)揮和擴(kuò)大計(jì)算機(jī)的功能用用途:應(yīng) 算機(jī)來解決某些問題而編制的程序。統(tǒng):隨著計(jì)算機(jī)在信息處理、情報(bào)檢索及各種管理系 統(tǒng)中應(yīng)用的發(fā)展,要求大 量處理某些數(shù)據(jù),建立和檢索大量的表格,于是產(chǎn)生了數(shù)據(jù)庫 管理系統(tǒng)。11第一級(jí)是微程序設(shè)計(jì)級(jí),這是i個(gè)實(shí)在的硬件級(jí),它由機(jī)器硬件直接執(zhí)行微指令;第二級(jí)是-般機(jī)器級(jí),也稱為機(jī)器語言級(jí),它由程序解釋機(jī)器指令系計(jì)算機(jī)組成原理第五版習(xí)題答案 統(tǒng):第三級(jí)是操作 系統(tǒng)級(jí),它|打操作系統(tǒng)實(shí)現(xiàn);第四級(jí)是匯編語言級(jí),它給程 序人員提供一種符號(hào)形式語 言,以減少程序編寫的復(fù)雜性;第五級(jí)是高級(jí)語言 級(jí),它是面向用戶的,為方便用戶編 寫應(yīng)用程序而設(shè)置的。用一系列的級(jí)來組

6、成計(jì)算機(jī)的接口對(duì)于掌握計(jì)算機(jī)是如何組成的提供了i種好的結(jié)構(gòu)利體制,而 且用這種分級(jí)的觀點(diǎn)來設(shè)計(jì)計(jì)算機(jī)對(duì)保證產(chǎn)生一個(gè)良好的系統(tǒng)結(jié)構(gòu)也是很有幫 助的。1計(jì)算機(jī)組成原理第五版習(xí)題答案12. 因?yàn)槿魏尾僮骺梢杂绍浖韺?shí)現(xiàn),也可以由硬件來實(shí)現(xiàn);任何指令的執(zhí)行 可以由硬件完成,也可以由軟件來完成。實(shí)現(xiàn)這種轉(zhuǎn)化的媒介是軟件與硬件的 邏輯等價(jià)性。13. 計(jì)算機(jī)應(yīng)用和應(yīng)用計(jì)算機(jī)在概念上是不等價(jià)的。計(jì)算機(jī)應(yīng)用是計(jì)算機(jī)學(xué)科 與其他學(xué)科相結(jié)合的交叉學(xué)科,是計(jì)算機(jī)學(xué)科的組成部分,分為數(shù)值計(jì)算利非 數(shù)值應(yīng)用兩大領(lǐng)域。應(yīng)用計(jì)算機(jī)是借助計(jì)算機(jī)為實(shí)現(xiàn)特定的信息系統(tǒng)功能的手 段。在計(jì)算機(jī)系統(tǒng)的層次結(jié)構(gòu)中,應(yīng)用計(jì)算機(jī)是多級(jí)計(jì)算機(jī)系

7、統(tǒng)層次結(jié)構(gòu)的最終 目標(biāo),是高級(jí)語言級(jí)之上的服務(wù)層次。10第二章1(1) 一35 =( 400011),一35原= 10100011-35# = 11011100-35反= 11011101(2)127原1反= 111111102x補(bǔ)二 ao aia2-a6解法一、(1)若ao二0,則x0,也滿足x -0.5 此時(shí)ai*a6可任意(2)若 ao= 1,則 x -0.5,需 ai 二 1 即 ao = 1, ai = 1, a2*a6 有一個(gè)不為 0解法二、-0.5 = -0.1(2)二0.100000= L 100000(1) 若x=0,則a0二0,綱如任意即可xR卜二 x 二 ao aia2e

8、eea6(2) 若 xvO,則 x-0.5只需x 0x補(bǔ)二-x, 0.5R卜=01000000 即卜x補(bǔ) 01000000無水萬兀2 乙6 + 1 V 01000000無*萬*a2a6 11oooooo即aoai二11理一細(xì)不全為0或至少有一個(gè)為1 (但不是“其啜3.字長(zhǎng)32位浮點(diǎn)數(shù),階碼8位,用移碼表示,尾數(shù)23位,用補(bǔ),二二卜碼表方卍基為EsEi-*E8MsM21Mq(1)(2)(3)最大的數(shù)的二進(jìn)制表示E= 11111111Ms = O.M= 11-1 (全 1)1 11111111 0111111111111111111最小的二進(jìn)制數(shù)E= 11111111Ms= l.M = 00-0

9、(全 0)1 11111111 100000000000規(guī)格化范圍-正最大E =448Ms = 0Ms = O三111,22個(gè)2-*q0=0 左移1 10010+lyl補(bǔ)0 11111余數(shù)為正0 10001 -ql=l 左移100010101 01101 -q4=00 110100 11111余數(shù)為負(fù) 左移+lyl補(bǔ)為+yl補(bǔ) 1 00001余數(shù)為正0 00011 -q2=l 左移0 00110余數(shù)為負(fù)1 11001 -q5=0+lyl補(bǔ)0 11111移 I補(bǔ)余數(shù)0 11000+lyl補(bǔ) 1 00001故x-ry原二1. 11000 即 xFy= 4). 11000 余數(shù)為0 11000(2)

10、 |x|補(bǔ)二001011卜 | y 門補(bǔ)二 1 00111被除數(shù)X 001011+yl補(bǔ) 1 0011113計(jì)算機(jī)組成原理第五版習(xí)題答案余數(shù)為負(fù)1 10010-qO=O計(jì)算機(jī)組成原理第五版習(xí)題答案16左移1 00100+lyl補(bǔ)0 11001余數(shù)為負(fù)1 11101 -ql=0 左移1 11010+lyl補(bǔ)0 11001余數(shù)為正0 10011 -q2=l 左移100110+yl補(bǔ) 1 00111余數(shù)為正0 01101 -q3=l 左移0 11010+Jyl補(bǔ) 1 00111余數(shù)為正0 00001 -q4=l 左移0 00010余數(shù)0 00010x4-y= 4). OHIO 余數(shù)為0 00010余

11、數(shù)為負(fù)101001q5=0 +lyl補(bǔ) 0 11001+yl補(bǔ) 1 001119. (1) x 二 2叫0.1001010.011110)瑜=11101.0.100101y浮炭1110,紿011110Ex-喙01他0心11111x浮=11110,0.010010(1)0 0.0 1 00 1 0(1)+1 1. 1 000 1 01 1. 1 1 0 1 00(1)規(guī)格化處理:1.010010 階碼 11100x+y= 1.010010*2-4 = 2-4*-0.101110x-y0 0.0 1 00 1 0(1)+ 0 0.0 1 1 1 1 000 1 1 0000(1)規(guī)格化處理:0.1

12、10000 階碼11110 x-y=2-2*0.110001(2) x = 2叫G0.010110), y 二 2叫0.010110x= 11011,-0.010110y浮二 11I00A010110規(guī)格化處理:xy0 110 1000000000000000= 0.111100* 0.1001I)LUU001110101Ex-Ey = 11011+00100= 11111x= 11100,1.110101(0)x+y1 1. 1 1 0 1 0 1+ 0 0. 0 1 0 1 1 00 0. 0 0 1 0 1 1 o.ionoo m 11010x+y= 0.101100*261 11 0

13、1 01+ 1 1.1 0 1 0 1 01 1.0 1 1 1 1 1規(guī)格化處理:1.011111 階碼11100x-y=-000001*2仝10 .(1) Ex = 0011, Mx =0.110100 Ey = 0100, My二(1100100 Ez = Ex+Ey =0111Mx字My規(guī)格化:26*0.111011 (2)1110,0.011010Ey 二妙 1.Eay= 1110+1101 = 1011 Mx補(bǔ)二 00.0110101 My# =00.111100. -My補(bǔ)二 11.000100A計(jì)算機(jī)組成原理第五版習(xí)題答案00.00.010.01100111 000000 1

14、1 0 10+-My1 1000 1 00110 1111010111100+MyJ00111100111110001 1 1 1 0000+MyJ0011110000101 1000 10 11 000+-My1 1000 1 00000 1 1 100+-My1 1 000 1 001 1111100 0.0110 11111 000+MyJ001111000011 0100 0.0110 0 110 1 000+-My1 1 000 1 0000 1 01 100 0.01101商二 0.110110*2巴 余數(shù)二001100字2Ci*i l; A CiCi*i F ACiCi-iF A

15、 CiCi-i F A Ci1TTT4位加鏈虜如上圖,寸BiTAiTTBiAiTBi=州傷 +(A bjGt dj單行進(jìn)位方式C| = Gi+PiCo其屮:Gi =AiBiPl 二 Al B:(A1+B1 也對(duì))C:二 Gz+PzCiG:二 A:B:P2 = A2 B:C3 二 G3+P3C2Gs = AsBsPs = As BsCi = G1+P1C3Gt = A1B1P4 = Ai Bi(2)并行進(jìn)位方式C| = G1+P1C0C2 = G2+P2G1+P2P1 CoC3 = G3+P3G2+P3P2G1+P3P2P1G)計(jì)算機(jī)組成原理第五版習(xí)題答案3312. (1)組成最低四位的7418

16、1進(jìn)位輸出為:C4 = Cn+4 = G+PCn = G+PCo,Co 為向第 0 位進(jìn) 位 其中,G = y3+y2X3+yiX2X3+yox 1X2X3, P =X()X 1X2X3,所以C5 二 Y4+X4C4C()二 Y5+X5C5 = Y5+X5Y4+X5X4C4設(shè)標(biāo)準(zhǔn)門延遲時(shí)間為T, “與或非”門延遲時(shí)間為1.5T,則進(jìn)位信號(hào)C(”由 最低位傳送至C6需經(jīng)一個(gè)反相器、兩級(jí)“與或非”門,故產(chǎn)生C()的最長(zhǎng)延 遲時(shí)間為T+2* 1.5T = 4T(3)最長(zhǎng)求和時(shí)間應(yīng)從施加操作數(shù)到ALU算起:第-片74181有3級(jí)“與或 非”門(產(chǎn) 生控制參數(shù)xo, yo, Cn+4),第二、三片741

17、81共2級(jí)反相器和2 級(jí)與或非”門(進(jìn)位鏈),第四片74181求和邏輯(1級(jí)與或非I遊虬匚級(jí) 半加器,設(shè)其延遲時(shí)間為3T),故總的加法吋間為:亠to = 3* 1.5T+2T+2* 1.5T+1.5T+3T = 14T13設(shè)余三碼編碼的兩個(gè)運(yùn)算數(shù)為&利第一次用二進(jìn)制加法s/,進(jìn) 位為c+門 校正后所得的余三碼和數(shù)為s“進(jìn)位;&二心曲必。 二 YishYiiYioSi,=Si3Si2Si|SioF3 1 |fa、FAFAFAFAi3,i34 Yi2紜YnX】。X3算的和數(shù)為二進(jìn)加法Y10當(dāng) er二 1 時(shí),& 二 sr+oon并產(chǎn)生Ci+i當(dāng) Ci+r二o 時(shí),& 二sr+iioi根據(jù)以上分析,

18、可畫出余三碼編碼的十進(jìn)制加法器單元電路如圖所示。14一 一Si 二 AiBiCi+ AiBiCi+ AiBiCi+ AiBiCi圖如下:&Ml&U完成定點(diǎn)加減法運(yùn)算和邏輯運(yùn)算,專用的 冃的陣列除法器完成除法操作。邏輯圖可參考主教材 r:因?yàn)橛邪朔N運(yùn)算,所以控制信號(hào)采用三位,15.設(shè)計(jì)思想:電路由三部分構(gòu)F 陣列乘 法器完成乘法運(yùn)算, 圖2.7和圖2.9。16.於S0,SLS2o加法和減法操作利用4位補(bǔ)碼加減法器免I 1操作可以單獨(dú)設(shè)計(jì)電路實(shí)現(xiàn),也可以將被加數(shù)強(qiáng)制為 + 1利用加減法嚅竇現(xiàn);傳送操作可以利用加減法器實(shí)現(xiàn),第二加數(shù)強(qiáng)制為0;邏 輯乘和取反設(shè)計(jì)單獨(dú)的邏輯運(yùn)算電路,用與門和反相器實(shí)現(xiàn);

19、取補(bǔ)電路單獨(dú)設(shè)計(jì),材圖2.6:乘法操作可單獨(dú)設(shè)計(jì)高速乘法器,電路參見主教材圖2.7;17.設(shè)計(jì)恩想:將74181的S3S0及M等五個(gè)控制信號(hào)縮減為S2S0三根信號(hào), 主教材表2.5 (功能表中的算術(shù)運(yùn)算利邏輯運(yùn)算相應(yīng)進(jìn)行簡(jiǎn)化,去除冗余操作和可 替代操作:000:邏輯0 001: AB010: A+BOil: AB100: A 加 B101: A 減B減 1110: A 加 A111:A其中,000011為四種邏輯運(yùn)算,100111為四種算術(shù)運(yùn)算。根據(jù)功能表可以很容易地設(shè) 計(jì)出 簡(jiǎn)化的函數(shù)發(fā)生器。第三章(1)220 * 壬=4M 字節(jié)81024K*32(2) = 2*4 = 8 片512K*8(

20、3) 1位地址作芯片選擇2. (1)226/2二4 (塊)(2)(224/222 )x(64 位/8 位)二32 (片)(3)主存共需DRAM芯片為:4x32=128 (片)每個(gè)內(nèi)存條有32片DRAM芯片,容量為16Mx64位,需24根地址線(A23A0) 完成內(nèi) 存條內(nèi)存儲(chǔ)單元尋址。一共有4塊內(nèi)存條,采用2”梟令位地址線 (A25A24),通過2: 4譯碼器譯碼產(chǎn)生片選信號(hào)對(duì)各模占 3.(1)根據(jù)題意,存儲(chǔ)總?cè)萘繛?4KB,故地址總線需16血DRAM芯片,相結(jié)合的方法來組成整個(gè)存儲(chǔ)器,其組成邏輯譯碼器。(2)根據(jù)已知條件,CPU在lus內(nèi)至少訪存T果釆用集中刷新,有64us的死時(shí)間,如果釆用

21、分散刷新,則每lus只目軫存+款假定16K*1位的DRAM芯片118*128矩陣存儲(chǔ)元構(gòu)成,刷新時(shí)只對(duì)128行進(jìn)行異步方 式刷 新,則刷新間隔為2ms/128 = 1笳帀取刷新信號(hào)周期15uso刷新一遍所用時(shí)間=15US X128 = 1.92ms選擇。用16K*8位共需16片。芯片本身地址線占14位,所次采用位并聯(lián)與地址串聯(lián) 圖所示,其中使用一片2: 4N整個(gè)存儲(chǔ)器的平均讀/寫周期為0.5us,如,也不行所以采用異步式刷新方式。D()D72: 4譯碼器AwA151024/ 324.(1)= 32 片128A:*8(2)(3)如果選擇一個(gè)行地址進(jìn)行刷新,刷新地址為Ao-As,因此這一行上的20

22、48個(gè) 存儲(chǔ)元同 時(shí)進(jìn)行刷新,即在8ms內(nèi)進(jìn)行5血、周期。刷新方式可采用:在 8ms屮進(jìn)行512次刷 新操作的集中刷新方:安8ms/512二15.5us刷新一次的異步刷新方式。5.所設(shè)計(jì)的存儲(chǔ)器單元數(shù)為1M,字長(zhǎng)為淌(地址長(zhǎng)度為20位(A19A0),所用芯片存儲(chǔ)單元數(shù)為256K,字長(zhǎng)為16位,.故地址長(zhǎng)度為18位(A17A0)。由此可用位并聯(lián)方式與地址串聯(lián)方彊相結(jié)洽的方法組成組成整個(gè)存儲(chǔ)器,共8片 片,CS2: 4譯碼器。其存儲(chǔ)器結(jié)構(gòu)如圖所示。T-Tz3CSCP uYO Y1A isCS2CSoI;九1 2臥1-TS rCSJ JW/R6. (1)系統(tǒng)16位數(shù)據(jù),所以數(shù)據(jù)寄存器16位(2)系統(tǒng)

23、地址128K=2匕 所以地址寄存器17位(3)共需要8片(4)組成框圖如下DiHo (低 16 位)7.(1)組內(nèi)地址用A12Ao(2) 小組譯碼器使用3: 8譯碼器(3) RAMi-RAM5各用兩片8K*8的芯片位并聯(lián)j0000H4000HROMRAMi6000H8000Hram2A000RAM3RAM4HHRAM5人13%5t2=T +(7-l)r=l 00/75 + 7* 50/75 = 45位5* IO MVV2 =q/ =512 一 (4屋 1N2420 = 0.9682420 + 80順序存儲(chǔ)器和交叉存儲(chǔ)器的帶寬分別是:3.8xlO7l 位/引t29.cache的命中率mM =q/

24、 =512 一 (PKT,侶廣+詁 2100%-r)H =&順序存儲(chǔ)器和交叉存儲(chǔ)器連續(xù)讀出m二8個(gè)字的信息總是:q = 64位吃二512位順序存儲(chǔ)器和交叉存儲(chǔ)器連續(xù)讀出8個(gè)字所需的時(shí)間分別 是:tl =mT = 8*100ns = 8*10-7s7,n= 240T, cache/主存系統(tǒng)效率e為 鬲&1 *100% = 86.2%6 + (1 6)*0.968平均訪問時(shí)間Ta為TT = C _ 40$ “e 0.862/?S10. h*tc+(l-h)*tm = ta50 一 20040- 200=46.4/7593.75%一口11設(shè)取指周期為T,總線傳送周期為T,指令執(zhí)行時(shí)間 為 to (1

25、)(二(T+5 T +6to)*80 二 80T+400 t +480 t0(2) t = (T+7 t +8to)*6O 二 60T+420 t +480 t0 故不相等。12.D第四章1不合理。指令最好半字長(zhǎng)或單字長(zhǎng),設(shè)16位比較合適。7i馬字段可指定64種操作。2.70條指令,所以操作碼至少為7位。雙操 作數(shù)指令格式可以為:3. (1)RR型指令(2)寄存器尋址(3)單字長(zhǎng)二地址指令(4)操作碼字段OP可以指定26=64種操彳4. (1)雙字長(zhǎng)二地址指令,用于訪問存儲(chǔ)(2) RS型指令,一個(gè)操作數(shù)在通用堯存醯2負(fù)16個(gè)),另一個(gè)操作數(shù)在主存中。地址等于變址寄存器(共16個(gè))內(nèi)容加上(3)

26、 有效地址可通過變址尋址求傘位移量。5. (1)雙操作數(shù)指令(2) 22種尋址方式(3) 2二16種操作2相對(duì)變址尋址方址方式6.(1)直接尋1尋址方;式44)基間搟尋赴方式(6)基址間接境首:方式7.40條指令至少需要操作碼字段6位,所以剩下的長(zhǎng)度為26位。主存的容量為64M字,則 設(shè)尋 址模式(X) 2位,格式如下:3126 25 24 230OPXDX二0 0直接尋址有效地址E二DX=0 1立即尋址D字段為立即數(shù)X= 1 0變址尋址 有效地址E二(RX)+D (可尋址64M個(gè)存儲(chǔ)單元)X二1 1相對(duì)尋址 有效地址E二(PC) +D (可尋址64M個(gè)存儲(chǔ)單元)其中RX為變址寄存器(32位)

27、,PC為程序計(jì)數(shù)器(32位)。在相對(duì)尋址時(shí),位移量D可正可負(fù)。8.( 1)50種操作碼占6位,4種尋址方式占2位。以單地址指令為例:OP | X (2) | D (24)X = 00寄存器尋址方式。D字段實(shí)際使用4比特選擇16個(gè)通用寄存器。X = 01寄存器間接尋址方式。D字段實(shí)際使用4比特選擇16個(gè)通用寄存器。E二 (RX)o X = 10立即尋址方式。D字段給出24位立即數(shù)。X=ll直接尋址方式。D字段給出24位內(nèi)存地址。E = Do(2)尋址模式字段變成3位,可以支持更多的尋址方式。可增加相對(duì)尋址方式,其 有效地 址E二PC+D;還可使用內(nèi)存間接尋址,此時(shí)有效地址E二(D)o9. 16個(gè)

28、通用寄存器占4位,64種操作占6位,剩下22位用于存儲(chǔ)器地址,OPR (4)D (22)采用R為基址寄存器尋址,地址=(R) +D 當(dāng)基址最大,D也是最大的時(shí)候,尋址能力 最大而寄存器是32位的,故最大存儲(chǔ)空間是232+222 =4GB+4MBo10.表4.9的指令數(shù)為29,則指令的操作碼至少為5位。 寄存器 尋址、直接尋址、堆棧尋址、相對(duì)尋址、內(nèi)存令支持立即尋址、 尋址、寄存器間接尋計(jì)算機(jī)組成原理第五版習(xí)題答案第五章1. (1) IR、(2)AR、(3)DR、通用寄存器2. STO Ri (R?)PC-AR|M-DRDR-IRPC(), G. ARjR/W =RDRo, G, ARiR2o,

29、 G、ARiRio, G. DRiR/W 二W3. LAD (R3), R()PC-ARM-DR4.35daAR R3o, G、ARiR/W 二RDRo, G,ROj計(jì)算機(jī)組成原理第五版習(xí)題答案5節(jié)拍脈沖T(,T2, T3的寬度實(shí)際上等于時(shí)鐘脈沖的周期或是它的倍數(shù)。此處Ti二T2二T27; = G *C2C2的0端和Ci的Q端加非門實(shí)現(xiàn),其目的在于保持信號(hào)輸出時(shí) :形脈沖發(fā)生器隔離。廣、仏iT】用與門實(shí)現(xiàn),T2延時(shí)間的-致性TTs200ns,1T3 = 400ns,所以主脈沖源的頻率應(yīng)=二 為/T為了消除節(jié)拍脈沖上的毛刺,環(huán)形脈沖彪 題目要求的邏輯電路圖與時(shí)序信號(hào)為 節(jié)拍脈沖的邏輯表達(dá)式如下:

30、移位寄存器形式。圖中畫出了 顒?chuàng)r(shí)序信號(hào)關(guān)系,Ti,T2, T3三個(gè)-計(jì)算機(jī)組成原理第五版習(xí)題答案脈沖 時(shí)鐘 源36計(jì)算機(jī)組成原理第五版習(xí)題答案123456d-LTLm-Lm-LCC326. (80*3 + 1)匚=964 字節(jié)87. M 二 GS3 = H+D+FS2 =A+B+H+D+E+F+G S1=A+B+F+GC 二 H+D+Ey+Fy+G 4)8. 經(jīng)分析,(d, i, j)和(e, f, 碼,可得六個(gè)微命令信號(hào),剩 整個(gè)控制字段組成如下:01移邏輯圖:* * * *a b c g9. Pl 二le* * *j llh6、IR5轉(zhuǎn)移 進(jìn)位C轉(zhuǎn)移微地址轉(zhuǎn)IJ組成兩個(gè)小組或兩個(gè)字段,然

31、后進(jìn)行譯 ,bC,g四個(gè)微命令信號(hào)可進(jìn)行直接控制,其pAs3gA7gA6Q 2Q棗Q Q計(jì)算機(jī)組成原理第五版習(xí)題答案ClT4l-lARs1I1AR71pARoP(l)DDDIR6IR5IR44210.(1)將C, D兩個(gè)暫存器直接接到ALU的A, B兩個(gè)輸入端上。與此同時(shí),除送回繼指令地址11(1)假設(shè)判別測(cè)試字段中每一位作為一個(gè)判別標(biāo)志,那么由于有4個(gè)轉(zhuǎn)移條件,故該字段 為4位。下地址字段為9位,因?yàn)榭卮嫒萘繛?12單元。微命令字段則是(48-4-9) =35 位。(2)對(duì)應(yīng)上述微指令格式的微程序控制器邏輯框圖如圖所示。其中微地址寄存器 對(duì)應(yīng)下地址字,P字段即為判別測(cè)試字段,控制字段即為微命

32、令字段,后兩 部分組成微指令寄 存器。地址轉(zhuǎn)移邏輯的輸入是指令寄存器的0P碼、各種 狀態(tài)條件以及判別測(cè)試字段所給的判別標(biāo)志(某一位為1),其輸出修改微 地址寄存器的適當(dāng)位數(shù),從而實(shí)現(xiàn)微程序的分支轉(zhuǎn)移。就是說,此處微指 令的后繼地址采用斷定方式。P字段控制字段12. (1)流水線的操作周期應(yīng)按各步操作的最大時(shí)間來考慮,即流水線時(shí)鐘周期性t = maxrf = 100ns打因此至發(fā)生停頓。(2) 遇到數(shù)據(jù)相關(guān)時(shí),就停頓第2條指令的執(zhí)行,直到前面指令的結(jié) 少需要延遲2個(gè)時(shí)鐘周期。(3) 如果在硬件設(shè)計(jì)上加以改進(jìn),如釆用專用通路技術(shù),就可去13. (1)14.15 16 17 18T門空間,時(shí)間T=&

33、33*106 條/秒WBME20+ 20-1)*1002020.T20滬丄_1)IF 123 41 23 4 5rKSa)+ 51w BEXI120*5空間s11= 4.17IoI,I.時(shí)間T間流線非水時(shí)1 2 3 4 5 6 7 8 圖1 2 3 4 5 6 7 8如上兩圖所示,執(zhí)行相同的指令,在8個(gè)單位時(shí)間內(nèi),流水計(jì)算機(jī)完成5條指令,而非計(jì)算機(jī)組成原理第五版習(xí)題答案流水計(jì)算機(jī)只完成2條,顯然,流水計(jì)算機(jī)比非流水計(jì)算機(jī)有更高的吞吐量。15. 證:設(shè)n條指令,K級(jí)流水,每次流水時(shí)間T則用流水實(shí)現(xiàn) Tp=K T +(n-l) THp=lTp非流水實(shí)現(xiàn)Ts=KinHs=lTsnHpT=生HsKnr

34、Knn-n Tp Kr + (n K + n-k_Ts 1Hp1 - coHs理=1 ,則可見nl時(shí)TsTp,故流水線有更高吞吐量HsRAWWARWAn= 1 時(shí),16. (1)寫后讀(2) 讀后寫(3) 寫后寫W17.(1)譯碼段I】InI?I3I4I5I6I6執(zhí)仃段I.Ip1.1,I3】4I3I3I6與回段I,131.115I.計(jì)算機(jī)組成原理第五版習(xí)題答案DEWDEEWI3 FDEEEFDEE15FDE】6FDEWWWEW45第八章1單總線結(jié)構(gòu):它是-組總線連接整個(gè)計(jì)算機(jī)系統(tǒng)的各大功能部件,各大部件Z間 的所有的信息傳送都通過這組總線。其結(jié)構(gòu)如圖所示。單總線的優(yōu)點(diǎn)是允許I/O 設(shè)備Z間或I

35、/O設(shè)備與內(nèi)存Z間直接交換信息,只需CPU分配總線使用權(quán),不需要 CPU干預(yù)信息的交換。所以總線資源是由各大功能部件分時(shí)共享的。單總線的缺 點(diǎn)是由于全部系統(tǒng)部件都連接在一組總線上,所以總線的負(fù)載很重,可能使其吞量達(dá)到飽和甚至不能勝任的程度。故多為小型機(jī)和微型機(jī)采用。 系統(tǒng)總線內(nèi)存口 - 夕多總線系統(tǒng)結(jié)構(gòu)是通過橋,CPU總線,系逐鶯殘和高速總線彼此相 連,各 大部件的信息傳送不是只通過系統(tǒng)總線;體現(xiàn)了證議,中速,低速設(shè)備連 接到不同的總線上同時(shí)進(jìn)行工作,以提高總線的效率和吞吐量,而且處理器結(jié)構(gòu)CPU設(shè)備 接多總線結(jié)構(gòu):的變化不影響高速總線。2.簡(jiǎn)化了預(yù)件的設(shè)計(jì)。從硬件的角度看,面向總線是由總線接

36、口代替了專門的 誠(chéng)加,由總線規(guī)范給出了傳輸線和信號(hào)的規(guī)定,并對(duì)存儲(chǔ)器、I/O設(shè)備和CPU如何掛在總線上都作了具體的規(guī)定,所以,面向總線的微型計(jì)算機(jī)設(shè)計(jì)只 要按照這些規(guī)定制作CPU插 件、存儲(chǔ)器插件以及I/O插件等,將它們連入總 線即可工作,而不必考慮總線的詳細(xì)操作。(2)簡(jiǎn)化了系統(tǒng)結(jié)構(gòu)。整個(gè)系統(tǒng)結(jié)構(gòu)清晰,連線少,底板連線可以印刷化。系 統(tǒng)擴(kuò)充性好。一是規(guī)模擴(kuò)充,二是功能擴(kuò)充。規(guī)模擴(kuò)充僅僅需要多插一些同類型 的插件;功能擴(kuò)充僅僅需要按總線標(biāo)準(zhǔn)設(shè)計(jì)一些新插件。插件插入機(jī)器的位置往往沒有嚴(yán)格的計(jì)算機(jī)組成原理第五版習(xí)題答案和底板連線般不需更改。3. “A”的ASCII碼為41H = 01000001B

37、, 1的個(gè)數(shù)為偶數(shù),故校驗(yàn)位為0: “8”的ASCII 碼為38H二00111000B, 1的個(gè)數(shù)為奇數(shù),故校驗(yàn)位為1。504.停數(shù)始位位校據(jù)位 I 位 J數(shù)據(jù)止位 數(shù)停驗(yàn)位 數(shù)據(jù)位 L 位 數(shù)據(jù)據(jù)位 數(shù)數(shù)據(jù)位 數(shù)始位 起止位 L停驗(yàn)位 I校據(jù)位位 L數(shù)據(jù)據(jù)位 數(shù)數(shù)據(jù)位 數(shù)據(jù)位 I數(shù)據(jù)位位 數(shù)據(jù)據(jù)站 數(shù)數(shù)據(jù)位 丿起止據(jù)位6765432IXO邏輯圖如下:QRinDAy1設(shè)備一設(shè)備二說明:兩個(gè)設(shè)備共用總線,每個(gè)設(shè)備的總線接口 部分如右圖所示。通過鎖存器保存接收數(shù)據(jù), 并通過三態(tài)門向總線發(fā)送數(shù)據(jù)。每個(gè)設(shè)備的,屍1D 控制端有效時(shí),鎖存器保存接收數(shù)據(jù);的Rout信號(hào)有效時(shí),鎖存器保存的普 總線。當(dāng)Ro

38、ut信號(hào)無效吋,設(shè) & 上斷開。5.中央設(shè)備接I I口仲6.BS2BR41設(shè)備接口設(shè)備接口設(shè)備接口7.仲裁警 總線蠶&C9.B、A、C10. A11. D12. A13. 存儲(chǔ)總線周期用于對(duì)內(nèi)存讀寫,I/O總線周14. D、C、A、B15. B、A、E、D、C16. A、B、C、D17. PCI總線上有HOST橋、PC系結(jié)構(gòu)中起著重要作用,它遊接兩條總線,使彼此間相互通信。橋是一個(gè)總 線轉(zhuǎn)換部件,可以把r而使系統(tǒng)中任意一個(gè), 間的猝發(fā)式傳送僅所有的存取都按CPU的需要出現(xiàn)在總線上。由上可 見,以橋連接 線并行工iK18. 分布式中的端口進(jìn)行讀寫。os總線橋、PCI/PCI橋。橋在PCI總線體點(diǎn)

39、線的地址空間映射到另?xiàng)l總線的地址空間上,從 殳備都能看到同樣的份地址表。橋可以實(shí)現(xiàn)總線CI總線結(jié)構(gòu)具有很好的擴(kuò)充性和兼容性,允許多條總,需要中央仲裁器,每個(gè)潛在的主方功能模塊都有自己的仲裁號(hào)利 當(dāng)它們有總線請(qǐng)求時(shí),把它們唯-的仲裁號(hào)發(fā)送到共享的仲裁總線 個(gè)仲裁器將仲裁總線上得到的號(hào)與自己的號(hào)進(jìn)行比較。如果仲裁總線上 ,則它的總線請(qǐng)求不予響應(yīng),并撤消它的仲裁號(hào)。最后,獲勝考的仲裁 號(hào)保留在仲裁總線上,分布式仲裁是以優(yōu)先級(jí)仲裁策略為基礎(chǔ)。仲裁務(wù)總線證n競(jìng)爭(zhēng)條競(jìng)爭(zhēng)號(hào)接其它設(shè)備巒爭(zhēng)19:總線陽息|傳嚴(yán)料,護(hù)可分為:請(qǐng)求總線,總纟裁,尋址,信息傳讀命令數(shù)據(jù)線總線時(shí)鐘啟動(dòng)信號(hào)耳定義可得:Dr = T/D

40、二 Dxl/f =8Bx70二560MHz/sJ地址線 地址認(rèn)可20.設(shè)總纟表D表示,總線時(shí)鐘周期用T二1/f表示,一個(gè)總線周期傳送的數(shù)據(jù)量用D21. PCI總線:是-種不依附于某個(gè)具體處理器的局部總線,支持10種外設(shè),并能在 高時(shí)鐘頻率下保持高性能??偩€時(shí)鐘頻率為33.3MHz/66MHz,最大數(shù)據(jù)傳輸 速率133N4B/S,采用時(shí)鐘同步方式,與CPU及時(shí)鐘頻率無關(guān),總線寬度32 位(5V) /64位(3.3V),能自動(dòng)識(shí)別外設(shè)??偩€具有與處理器和存儲(chǔ)器子系統(tǒng) 完全并行操作的能力,具有隱含的中央仲裁系統(tǒng),采用多路復(fù)用方式(地址線 和數(shù)據(jù)線)減少了引腳數(shù),支持64位尋址,具有完全的多總線主控能

41、力。InfiniBand標(biāo)準(zhǔn):針對(duì)處理器和智能I/O設(shè)備Z間數(shù)據(jù)流而提出的一種新體系結(jié) 構(gòu),用于 在服務(wù)器中取代PCI總線,采用InfiniBand結(jié)構(gòu)將允許服務(wù)器提供更 高的帶寬和可擴(kuò)展 能力,并增強(qiáng)了存儲(chǔ)設(shè)備擴(kuò)充的靈活性。InfiniBand允許服計(jì)算機(jī)組成原理第五版習(xí)題答案 務(wù)器,遠(yuǎn)程存儲(chǔ)器,其他網(wǎng) 絡(luò)設(shè)備接入到個(gè)由開關(guān)和鏈路組成的中央開關(guān) 網(wǎng)帶,可連接多達(dá)64000個(gè)服務(wù)器,存儲(chǔ)系統(tǒng)和網(wǎng)絡(luò)設(shè)備。51計(jì)算機(jī)組成原理第五版習(xí)題答案1652第七章1.2.3DC、D、C、A1024*1024*256iMB4.5.8*8格式化容量二扇區(qū)容量*每道扇區(qū)數(shù)*磁道總數(shù)=512*9*100*2=9216

42、00B設(shè)讀寫一塊信息所需總時(shí)間為館,平均找道時(shí)間為平均等待時(shí)間為 信息的傳輸時(shí)間為W,則tfi = ts+tl+tm假設(shè)磁盤以每秒r轉(zhuǎn)速率旋轉(zhuǎn),每條磁道容量為N個(gè)字, 狀輸率二rN 個(gè)字/秒。又假設(shè)每塊的字?jǐn)?shù)為n,因而一旦讀寫頭定位磁:y鵝,就能在口一塊6.5心秒的時(shí)間中傳輸完畢。U是磁盤旋轉(zhuǎn)半周的時(shí)間,t.= (l/2r)秒。由此可得: 秒rN4000 轉(zhuǎn) /60$+2r185000B/一$= 2775 / 轉(zhuǎn)=2775B2*220*2775= 1.16MB7. (1)275*12288*4= 12.89(2)最高位密度D1按115mm):mm 最低g贈(zèng)”最大磁道半徑R2計(jì)算:2 = R1

43、+ (275 5) = 115 + 55 = 170mm丿垃二 12288 字節(jié) /2kR2= 11.5 字節(jié) /mm 000丫 汕 12288 = 600K3/$ M060*1000 = 10恥3000道半徑R1計(jì)算(R1二88字節(jié)/2ttR1二17字節(jié)/*215 14:臺(tái)號(hào)|悻創(chuàng)(磁道)|霍山1(磁頭)|扇區(qū)號(hào)|此地址格式表示有4臺(tái)磁盤,每臺(tái)有4個(gè)記錄面,每個(gè)記錄面最多可容納512個(gè)磁道,計(jì)算機(jī)組成原理第五版習(xí)題答案8.每道有16個(gè)扇區(qū)。存取時(shí)間=平均查找時(shí)間+平均等待時(shí)間= 60+*60 *1000-72.5/5240205710.彷=96*212 =48OKB/S60c9. (1)P=

44、_V=3000字節(jié)/秒=64000字節(jié)加2m/ s(2)傳送個(gè)數(shù)據(jù)塊所需時(shí)間為f = 1024字節(jié) _ 小128000 字節(jié) / - 125秒一個(gè)數(shù)據(jù)塊占用長(zhǎng)度為/ = v * / = 2/7? / s s = 0.016 山 水125每塊間隙L = 0.014m,數(shù)據(jù)塊總數(shù)為600-4 = 19867 塊1 + L故磁帶存儲(chǔ)器有效存儲(chǔ)容量為19867塊*1K字節(jié)=19867K字節(jié)(1) 磁盤內(nèi)徑為:9英寸5英寸二4英寸內(nèi)層磁道11.12.周長(zhǎng)為 2 = 2*3.14*5 = 31.4 英寸每道信息量二1000位/英寸*31.4英尢二10位磁盤有100逾英寸*5英寸二500J 組總?cè)?量:20*500*3.14* 104 = 3.14*10!位、加 兆位鼻即 3.925* 103B= 16020轉(zhuǎn)/分鐘(2)每轉(zhuǎn)即每道含有信息量3.1 = 23.925 *10、3/ -轉(zhuǎn)(1) 1(30* 1Q3+10* 1 b+3000/500* 103)*2+4* 103 * 1000二 96s (30裂滬+5引0以+3000/1000*10心)*2+4*10 3J*1000=80s41)存容量從大到小依次為:活動(dòng)頭磁盤存儲(chǔ)器,MO磁盤,CD-ROM存儲(chǔ) 滬

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!