微機原理課程設(shè)計數(shù)字電壓表

上傳人:j*** 文檔編號:51319900 上傳時間:2022-01-25 格式:DOC 頁數(shù):24 大?。?.44MB
收藏 版權(quán)申訴 舉報 下載
微機原理課程設(shè)計數(shù)字電壓表_第1頁
第1頁 / 共24頁
微機原理課程設(shè)計數(shù)字電壓表_第2頁
第2頁 / 共24頁
微機原理課程設(shè)計數(shù)字電壓表_第3頁
第3頁 / 共24頁

下載文檔到電腦,查找使用更方便

20 積分

下載資源

還剩頁未讀,繼續(xù)閱讀

資源描述:

《微機原理課程設(shè)計數(shù)字電壓表》由會員分享,可在線閱讀,更多相關(guān)《微機原理課程設(shè)計數(shù)字電壓表(24頁珍藏版)》請在裝配圖網(wǎng)上搜索。

1、電氣工程學院微機原理與接口設(shè)計 課程設(shè)計設(shè)計題目:數(shù)字電壓表 學號:xxxxxxxxxxx 姓 名:xxxxxxxx 同 組 人:xxxxxxxx 指導教師:xxxxxxxx設(shè)計時間:xxxxxxxxxxxxxxxxxxxxxxx設(shè)計地點:電氣學院實驗中心微機原理與接口設(shè)計課程設(shè)計成績評定表姓名學號課程設(shè)計題目:數(shù)字電壓表課程設(shè)計答辯或提問記錄:成績評定依據(jù):課程設(shè)計預(yù)習報告及方案設(shè)計情況(30):課程設(shè)計考勤情況(15):課程設(shè)計調(diào)試情況(30):課程設(shè)計總結(jié)報告與答辯情況(25):最終評定成績(以優(yōu)、良、中、及格、不及格評定)指導教師簽字:年月日微機原理與接口設(shè)計課程設(shè)計任務(wù)書學生姓名:指

2、導教師:一、課程設(shè)計題目: 數(shù)字電壓表二、課程設(shè)計要求1. 根據(jù)具體設(shè)計課題的技術(shù)指標和給定條件,獨立進行方案論證和電路設(shè)計,要求概念清楚、方案合理、方法正確、步驟完整;2。 查閱有關(guān)參考資料和手冊,并能正確選擇有關(guān)元器件和參數(shù),對設(shè)計方案進行仿真;3.完成預(yù)習報告,報告中要有設(shè)計方案,設(shè)計電路圖,還要有仿真結(jié)果;4。 進實驗室進行電路調(diào)試,邊調(diào)試邊修正方案;5. 撰寫課程設(shè)計報告最終的電路圖、調(diào)試過程中遇到的問題和解決問題的方法。三、進度安排1時間安排序 號內(nèi) 容學時安排(天)1方案論證和系統(tǒng)設(shè)計12完成電路仿真,寫預(yù)習報告13電路調(diào)試24寫設(shè)計總結(jié)報告與答辯1合 計5設(shè)計調(diào)試地點:電氣樓4

3、102執(zhí)行要求微機原理與接口技術(shù)課程成績優(yōu)秀的可以自擬題目,其余的同學都是指定題目。,每組不得超過2人,要求學生在教師的指導下,獨力完成所設(shè)計的詳細電路(包括計算和器件選型)。嚴禁抄襲,嚴禁兩篇設(shè)計報告雷同。摘要隨著我國現(xiàn)代化技術(shù)建設(shè)的發(fā)展,電子檢測技術(shù)日新月異,我們的課程設(shè)計是基于80C51單片機的一種8路輸入電壓測量電路,該電路采用ADC0832 A/D轉(zhuǎn)換元件,實現(xiàn)數(shù)字電壓表的硬件電路與軟件設(shè)計.該系統(tǒng)的數(shù)字電壓表電路簡單, 可以測量02V和00.2V的電壓值,并在四位LED數(shù)碼管上輪流顯示或單路選擇顯示。所用的元件較少,成本低,調(diào)節(jié)工作可實現(xiàn)自動化,還可以方便地進行8路A/D轉(zhuǎn)換量的測

4、量。關(guān)鍵詞:數(shù)字電壓表 A/D轉(zhuǎn)換器 電壓測量Abstract With the construction of modern technology, electronic detection technology advances, the 80C51 microcontroller for this design is based on an 8-input voltage measurement circuit that uses ADC0832 A / D conversion components, digital voltage meter hardware and softwar

5、e design 。 The systems digital voltmeter circuit is simple, can measure the voltage 0 2V and 00。2V, and the four turns on the LED digital display or a single select Show. Fewer components used in low cost, regulation work can be automated, You can also easily 8 A / D conversion volume measurement.Ke

6、y words: digital voltmeter A/D converter voltage measurement目錄摘要4Abstract4目錄5第一章系統(tǒng)方案設(shè)計1第二章仿真14第三章調(diào)試15第四章結(jié)論16第五章心得體會與建議17參考文獻18附錄1:元器件清單19 19第一章 系統(tǒng)方案設(shè)計一. 數(shù)字電壓表設(shè)計要求簡介做一個量程可變的數(shù)字電壓表。主要用到的芯片有AD0832,繼電器,8051單片機,運放及其外圍設(shè)備。主要的設(shè)計思路是將電壓表設(shè)計成兩檔,0200mV和02V。其相對應(yīng)的運放的放大增益是25倍和2。5倍。二. 工作原理1. STC89C51單片機89C51是一種帶4K字節(jié)閃

7、爍可編程可擦除只讀存儲器(FPEROMFalsh Programmable and Erasable Read Only Memory)的低電壓、高性能CMOS8位微處理器,俗稱單片機。單片機的可擦除只讀存儲器可以反復(fù)擦除100次。該器件采用ATMEL高密度非易失存儲器制造技術(shù)制造,與工業(yè)標準的MCS51指令集和輸出管腳相兼容。由于將多功能8位CPU和閃爍存儲器組合在單個芯片中,ATMEL的89C51是一種高效微控制器,89C2051是它的一種精簡版本。89C單片機為很多嵌入式控制系統(tǒng)提供了一種靈活性高且價廉的方案。管腳說明:VCC:供電電壓。GND:接地。P0口:P0口為一個8位漏級開路雙向

8、I/O口,每腳可吸收8TTL門電流。當P1口的管腳第一次寫1時,被定義為高阻輸入。P0能夠用于外部程序數(shù)據(jù)存儲器,它可以被定義為數(shù)據(jù)/地址的低八位。在FIASH編程時,P0 口作為原碼輸入口,當FIASH進行校驗時,P0輸出原碼,此時P0外部必須被拉高。P1口:P1口是一個內(nèi)部提供上拉電阻的8位雙向I/O口,P1口緩沖器能接收輸出4TTL門電流。P1口管腳寫入1后,被內(nèi)部上拉為高,可用作輸入,P1口被外部下拉為低電平時,將輸出電流,這是由于內(nèi)部上拉的緣故。在FLASH編程和校驗時,P1口作為第八位地址接收。P2口:P2口為一個內(nèi)部上拉電阻的8位雙向I/O口,P2口緩沖器可接收,輸出4個TTL門

9、電流,當P2口被寫“1時,其管腳被內(nèi)部上拉電阻拉高,且作為輸入。并因此作為輸入時,P2口的管腳被外部拉低,將輸出電流。這是由于內(nèi)部上拉的緣故。P2口當用于外部程序存儲器或16位地址外部數(shù)據(jù)存儲器進行存取時,P2口輸出地址的高八位。在給出地址“1時,它利用內(nèi)部上拉優(yōu)勢,當對外部八位地址數(shù)據(jù)存儲器進行讀寫時,P2口輸出其特殊功能寄存器的內(nèi)容。P2口在FLASH編程和校驗時接收高八位地址信號和控制信號。P3口:P3口管腳是8個帶內(nèi)部上拉電阻的雙向I/O口,可接收輸出4個TTL門電流。當P3口寫入“1”后,它們被內(nèi)部上拉為高電平,并用作輸入。作為輸入,由于外部下拉為低電平,P3口將輸出電流(ILL)這

10、是由于上拉的緣故.P3口也可作為AT89C51的一些特殊功能口,如下表所示:口管腳 備選功能P3.0 RXD(串行輸入口)P3。1 TXD(串行輸出口)P3。2 /INT0(外部中斷0)P3。3 /INT1(外部中斷1)P3.4 T0(記時器0外部輸入)P3.5 T1(記時器1外部輸入)P3。6 /WR(外部數(shù)據(jù)存儲器寫選通)P3。7 /RD(外部數(shù)據(jù)存儲器讀選通)P3口同時為閃爍編程和編程校驗接收一些控制信號。RST:復(fù)位輸入。當振蕩器復(fù)位器件時,要保持RST腳兩個機器周期的高電平時間。ALE/PROG:當訪問外部存儲器時,地址鎖存允許的輸出電平用于鎖存地址的地位字節(jié)。在FLASH編程期間,

11、此引腳用于輸入編程脈沖。在平時,ALE端以不變的頻率周期輸出正脈沖信號,此頻率為振蕩器頻率的1/6.因此它可用作對外部輸出的脈沖或用于定時目的.然而要注意的是:每當用作外部數(shù)據(jù)存儲器時,將跳過一個ALE脈沖。如想禁止ALE的輸出可在SFR8EH地址上置0.此時, ALE只有在執(zhí)行MOVX,MOVC指令是ALE才起作用。另外,該引腳被略微拉高。如果微處理器在外部執(zhí)行狀態(tài)ALE禁止,置位無效。/PSEN:外部程序存儲器的選通信號。在由外部程序存儲器取指期間,每個機器周期兩次/PSEN有效。但在訪問外部數(shù)據(jù)存儲器時,這兩次有效的/PSEN信號將不出現(xiàn)。/EA/VPP:當/EA保持低電平時,則在此期間

12、外部程序存儲器(0000H-FFFFH),不管是否有內(nèi)部程序存儲器.注意加密方式1時,/EA將內(nèi)部鎖定為RESET;當/EA端保持高電平時,此間內(nèi)部程序存儲器.在FLASH編程期間,此引腳也用于施加12V編程電源(VPP)。XTAL1:反向振蕩放大器的輸入及內(nèi)部時鐘工作電路的輸入。XTAL2:來自反向振蕩器的輸出。2. 74HC245總線收發(fā)器(bus transceiver),典型的CMOS型三態(tài)緩沖門電路.由于單片機或CPU的數(shù)據(jù)/地址/控制總線端口都有一定的負載能力,如果負載超過其負載能力,一般應(yīng)加驅(qū)動器.第1腳DIR,為輸入輸出端口轉(zhuǎn)換用, DIR=“1高電平時信號由“A”端輸入“B”

13、端輸出, DIR=“0低電平時信號由“B端輸入“A端輸出。第29腳“A”信號輸入輸出端,A1=B1A8=B8,A1與B1是一組,如果DIR=“1”G=“0”則A1輸入B1輸出,其它類同.如果DIR=“0”G=“0則B1輸入A1輸出,其它類同。 第1118腳“B信號輸入輸出端,功能與“A端一樣,不在描述。第19腳G,使能端,若該腳為“1A/B端的信號將不導通,只有為“0時A/B端才被啟用,該腳也就是起到開關(guān)的作用 第10腳GND,電源地。第20腳VCC,電源正極。管腳說明:第1腳DIR,為輸入輸出端口轉(zhuǎn)換用,DIR=“1高電平時信號由“A”端輸入“B”端輸出,DIR=“0低電平時信號由“B”端輸

14、入“A”端輸出。第29腳“A”信號輸入輸出端,A0=B0、A7=B7,A0與B0是一組,如果DIR=“1”O(jiān)E=“0”則A1輸入B1輸出,其它類同。如果DIR=“0OE=“0”則B1輸入A1輸出,其它類同。第1118腳“B”信號輸入輸出端,功能與“A”端一樣,不再描述.第19腳OE,使能端,若該腳為“1”A/B端的信號將不導通,只有為“0時A/B端才被啟用,該腳也就是起到開關(guān)的作用。第10腳GND,電源地.第20腳VCC,電源正極。3. ADC0832ADC0832 為8位分辨率A/D轉(zhuǎn)換芯片,其最高分辨可達256級,可以適應(yīng)一般的模擬量轉(zhuǎn)換要求.其內(nèi)部電源輸入與參考電壓的復(fù)用,使得芯片的模擬

15、電壓輸入在05V之間。芯片轉(zhuǎn)換時間僅為32S,據(jù)有雙數(shù)據(jù)輸出可作為數(shù)據(jù)校驗,以減少數(shù)據(jù)誤差,轉(zhuǎn)換速度快且穩(wěn)定性能強。獨立的芯片使能輸入,使多器件掛接和處理器控制變的更加方便。通過DI 數(shù)據(jù)輸入端,可以輕易的實現(xiàn)通道功能的選擇。正常情況下ADC0832 與單片機的接口應(yīng)為4條數(shù)據(jù)線,分別是CS、CLK、DO、DI.但由于DO端與DI端在通信時并未同時有效并與單片機的接口是雙向的,所以電路設(shè)計時可以將DO和DI 并聯(lián)在一根數(shù)據(jù)線上使用。當ADC0832未工作時其CS輸入端應(yīng)為高電平,此時芯片禁用,CLK 和DO/DI 的電平可任意.當要進行A/D轉(zhuǎn)換時,須先將CS使能端置于低電平并且保持低電平直到

16、轉(zhuǎn)換完全結(jié)束。此時芯片開始轉(zhuǎn)換工作,同時由處理器向芯片時鐘輸入端CLK 輸入時鐘脈沖,DO/DI端則使用DI端輸入通道功能選擇的數(shù)據(jù)信號。在第1 個時鐘脈沖的下沉之前DI端必須是高電平,表示啟始信號。在第2、3個脈沖下沉之前DI端應(yīng)輸入2 位數(shù)據(jù)用于選擇通道功能,其功能項見官方資料。如資料 所示,當此2 位數(shù)據(jù)為“1”、“0”時,只對CH0 進行單通道轉(zhuǎn)換。當2位數(shù)據(jù)為“1、“1”時,只對CH1進行單通道轉(zhuǎn)換。當2 位數(shù)據(jù)為“0、“0”時,將CH0作為正輸入端IN+,CH1作為負輸入端IN-進行輸入。當2 位數(shù)據(jù)為“0”、“1”時,將CH0作為負輸入端IN,CH1 作為正輸入端IN+進行輸入.

17、到第3 個脈沖的下沉之后DI端的輸入電平就失去輸入作用,此后DO/DI端則開始利用數(shù)據(jù)輸出DO進行轉(zhuǎn)換數(shù)據(jù)的讀取。從第4個脈沖下沉開始由DO端輸出轉(zhuǎn)換數(shù)據(jù)最高位DATA7,隨后每一個脈沖下沉DO端輸出下一位數(shù)據(jù)。直到第11個脈沖時發(fā)出最低位數(shù)據(jù)DATA0,一個字節(jié)的數(shù)據(jù)輸出DC0832封裝以及各端子(1張)完成。也正是從此位開始輸出下一個相反字節(jié)的數(shù)據(jù),即從第11個字節(jié)的下沉輸出DATA0。隨后輸出8位數(shù)據(jù),到第19 個脈沖時數(shù)據(jù)輸出完成,也標志著一次A/D轉(zhuǎn)換的結(jié)束。最后將CS置高電平禁用芯片,直接將轉(zhuǎn)換后的數(shù)據(jù)進行處理就可以了.作為單通道模擬信號輸入時ADC0832的輸入電壓是05V且8位

18、分辨率時的電壓精度為19。53mV。如果作為由IN+與IN輸入的輸入時,可是將電壓值設(shè)定在某一個較大范圍之內(nèi),從而提高轉(zhuǎn)換的寬度.但值得注意的是,在進行IN+與IN-的輸入時,如果IN的電壓大于IN+的電壓則轉(zhuǎn)換后的數(shù)據(jù)結(jié)果始終為00H。管腳說明:CS_ 片選使能,低電平芯片使能。CH0 模擬輸入通道0,或作為IN+/使用.CH1 模擬輸入通道1,或作為IN+/使用。GND 芯片參考0 電位(地)。DI 數(shù)據(jù)信號輸入,選擇通道控制。DO 數(shù)據(jù)信號輸出,轉(zhuǎn)換數(shù)據(jù)輸出。CLK 芯片時鐘輸入.Vcc/REF 電源輸入及參考電壓輸入(復(fù)用)。4. 繼電器和放大器繼電器是一種電子控制器件,它具有控制系統(tǒng)

19、(又稱輸入回路)和被控制系統(tǒng)(又稱輸出回路),通常應(yīng)用于自動控制電路中,它實際上是用較小的電流去控制較大電流的一種“自動開關(guān).故在電路中起著自動調(diào)節(jié)、安全保護、轉(zhuǎn)換電路等作用。放大器:能把輸入訊號的電壓或功率放大的裝置,由電子管或晶體管、電源變壓器和其他電器元件組成。P1.2控制繼電器的工作狀態(tài),當P1.2低電平時,繼電器處于常閉狀態(tài),那么相對應(yīng)的運放的放大增益為25倍。而當P1.2為高電平時繼電器工作,其對應(yīng)的放大增益即變?yōu)?.5倍.對于一個模擬輸入,現(xiàn)將其放大2。5倍,然后由AD輸入并相應(yīng)轉(zhuǎn)化,如果它的輸出要是小于0.5V,也就是19H,則選擇此檔位是不精確的,也說明此時的輸入電壓介于02

20、00mV之間.那么我們就需要讓P1。2置低電平,將相應(yīng)的放大倍數(shù)改成25以提高轉(zhuǎn)換精度.若其滿足相應(yīng)的條件則直接將其轉(zhuǎn)換成BCD碼并直接送數(shù)顯示即可。三. 實驗原理和設(shè)計思路由ADC0832采集電壓信號,電壓信號經(jīng)過ADC0832變成相應(yīng)的數(shù)字信號進入89s51,89s51根據(jù)輸入電壓的大小通過繼電器和放大器電路選擇對應(yīng)的放大倍數(shù)及量程,然后顯示在數(shù)碼管上。最終電路圖如下:1、 系統(tǒng)組成框圖如下STC89C51電源、時鐘、復(fù)位電路ADC0832顯示電路輸入電壓2、 程序流程圖:開始清除顯示器啟動AD轉(zhuǎn)換AD轉(zhuǎn)換完成轉(zhuǎn)換數(shù)據(jù)送ABCD轉(zhuǎn)換調(diào)整輸出顯示否是3、 ADC0832數(shù)據(jù)讀取程序流程圖開始

21、初始化通道選擇ADCLK清零、CS置低產(chǎn)生CLK信號串行循環(huán)送數(shù)輸入通道控制字結(jié)束將值送入指定寄存器CS 、CLK初始化四. 程序設(shè)計 ORG 0000H LJMP MAIN ORG 0600HMAIN: MOV SP,#70H ;設(shè)堆棧起始地址 MOV R0,40H ;將轉(zhuǎn)換得到BCD碼高位地址給R0 MOV R2,#0DFH ;將高位LED數(shù)碼位選擇地址給R2 CLR 20H。0 ;START: LCALL TOAD ;調(diào)用AD電壓采集程序 MOV 30H,A ;將采集回來的數(shù)據(jù)存儲到30H JB 20H.0,READY LCALL SWITCH ;調(diào)用量程判斷切換程序 JB 20H。0,

22、STARTREADY: LCALL TRANSFER ;調(diào)用BCD碼換算程序 LCALL DISPLAY ;調(diào)用顯示程序 CLR 20H。0 SJMP START ;返回作循環(huán)電壓測量;AD轉(zhuǎn)換電壓采集程序TOAD: CLR P3。3 ;CS=0 MOV A,#03H ;起始位和配置位為011 MOV R3,03HLOOPB1: CLR P3。2 ;CLK=0 RRC A MOV P3.0,C NOP SETB P3.2 ;CLK=1 DJNZ R3,LOOPB1 CLR P3.2 ;通道穩(wěn)定脈沖 NOP SETB P3。2 ;CLK=1 MOV R3,08HLOOPB2: CLR P3。2

23、;CLK=0 MOV C,P3。1 ;讀入一位數(shù)據(jù) RLC A SETB P3.2 ;CLK=1 DJNZ R3,LOOPB2 SETB P3.3 ;CS=1 RET;量程判斷切換程序SWITCH: MOV A,30H JB P3。4,SWITCH2SWITCH1: CJNE A,0FEH,NEXT1;大量程NEXT1: JC BACK SETB P3.4MOV A,0FEH;由小量程變?yōu)榇罅砍?MOV P0,AMOV A,01H ;顯示字符1MOV DPTR,TAB1 ;選擇表TAB1 MOVC A,A+DPTRMOV P2,A LCALL DELAY SETB 20H。0 SJMP BAC

24、KSWITCH2: CJNE A,#15H,NEXT2;小量程NEXT2: JNC BACK CLR P3.4MOV A,0FEH;由大量程變?yōu)樾×砍?MOV P0,A MOV A,#02H ;顯示字符2 MOV DPTR,TAB1 ;選擇表TAB1 MOVC A,A+DPTR MOV P2,A LCALL DELAY SETB 20H.0BACK: RET;BCD碼轉(zhuǎn)換程序TRANSFER: MOV A,30H JNB P3。4,TRF1 ADD A,#06H ;補償量程切換造成的電壓差值TRF1: MOV B,200 ;B賦值200 MUL AB ;AB乘 低8位給A MOV R1,A M

25、OV A,B ;B賦給A MOV B,#100 ;B賦值100 DIV AB ;A除以100,A為商,B為余數(shù) MOV 40H,A MOV A,B ;B賦給A MOV B,10 DIV AB ;A除以10,A為商 MOV 41H,A MOV 42H,B MOV A,R1 ;R1賦給A MOV B,100 ;B賦值100 DIV AB ;A除以100,A為商,B為余數(shù) MOV 43H,A RET;數(shù)碼顯示輸出程序DISPLAY: MOV R4,06HDISPLAY1: MOV A,R2 MOV P0,A JNB P3。4,AB1 JB ACC。5,ABX ;根據(jù)字位選擇查相應(yīng)的表AB2: MOV

26、 DPTR,#TAB2 ;選擇表TAB2 SJMP CONTINUEAB1: JNB ACC。3,AB2ABX: MOV DPTR,#TAB1 ;選擇表TAB1CONTINUE: MOV A,R0 MOVC A,A+DPTR MOV P2,A LCALL DELAY MOV A,R2 JNB ACC.2,RETURN RR A MOV R2,A INC R0 SJMP DISPLAY1RETURN: MOV R0,#40H MOV R2,0DFH DJNZ R4,DISPLAY1 RETTAB1: DB 3FH 06H 5BH 4FH 66H 6DH 7DH 07H 7FH 6FH 77HTA

27、B2: DB 0BFH 86H 0DBH 0CFH 0E6H 0EDH 87H 0FFH 0EFH 0F7H;延時程序DELAY: MOV R7,0AHDELAY1: MOV R6,0FFHDELAY2: DJNZ R6,DELAY2 DJNZ R7,DELAY1 RET END第二章 仿真實驗電路圖:電阻為0歐姆時:電壓為200mV時:電壓為200mV時:電壓最大值為1.99V時:第三章調(diào)試電壓為0mV時:電壓為193。1mV時:電壓為0。210V時:電壓為1。922V時:第四章 結(jié)論本次課程設(shè)計在老師的幫助下,我們解決了切換檔位、字符錯誤的問題,完成了規(guī)定的所有要求,并對該電路板上所用電路

28、以及元器件有了更為深刻的認識.但是對于一個完整的電壓表而言,我們的工作還存在缺陷,就是當電壓表超出量程的時候,我們的電壓表會出現(xiàn)重新開始計數(shù)的問題。這個問題我們能力有限所以難以解決,這將是我們今后認真研究的一個問題.遇到的問題:本次實驗我們根據(jù)老師發(fā)的電路板做了仿真,雖然做仿真不太難,但是調(diào)程序的時候遇到了一些解決的問題。1. 量程的調(diào)變。我們組先根據(jù)電路圖和課設(shè)的要求把程序?qū)懥?,然后做了仿?做完仿真后把程序調(diào)到仿真電路圖里面,經(jīng)過一個小時左右的調(diào)試得到了單量程的電壓表效果。但是得到雙量程的電壓表不是那么容易了。2. 字符錯誤。當處于低量程時候,電壓表示數(shù)會出現(xiàn)A字符,這不符合十進制的基本常

29、識,說明算法出現(xiàn)了嚴重錯誤。解決步驟:我們先把程序查了兩三次,沒發(fā)現(xiàn)問題,然后查了仿真電路圖,也沒發(fā)現(xiàn)問題。我們跟其他組同學討論了很長時間也沒發(fā)現(xiàn)問題,雖然把電路圖改了以后顯示效果有發(fā)生變化,但是比200mv一下的電壓值顯示不是理想的.我們周末兩天的時間為了解決這個問題而奮斗得到了雙量程的電壓表,輸入電壓從小到大變化過程沒錯,從大到小變化時就亂了,。我們程序按照邏輯構(gòu)成又分析了一遍,結(jié)果發(fā)現(xiàn)問題在于仿真電路和實際電路的不同即我們所選的量程轉(zhuǎn)折點電壓值對應(yīng)的數(shù)字在仿真里面沒有,于是我們把量程轉(zhuǎn)折點改了以后就得到了理想的有兩個量程的數(shù)字電壓表。同時,在詳細剖析程序時候,我們還一起對小量程時候的算法

30、進行邏輯分析,發(fā)現(xiàn)我們的算法在小量程時,進位的寫錯了,應(yīng)該是當余數(shù)出現(xiàn)10時候,要考慮對其進行“+1運算,才能避免出現(xiàn)字符里的A情況。第五章 心得體會與建議此次單片機課程設(shè)計較其他課程設(shè)計而言時間較充足,因此我們組也做了充分的前期準備。得知課程設(shè)計的題目與要求之后,便與組員一起查找資料,對相關(guān)元器件的工作原理和結(jié)構(gòu)進行分析和研究,并設(shè)計了電路圖。由于這次實驗AD采集用的不是AD0809而是AD0832,而AD0832是我們所不熟悉的,為了弄明白AD0832的工作原理和模擬量采集與模數(shù)轉(zhuǎn)換的具體實現(xiàn)過程進而為編程做準備,我們在網(wǎng)上和圖書館查找資料,這也加強了我們的自學能力。同時對單片機的引腳連接

31、有了更為直觀的認識,對于程序的編寫也有了很大的提高。指導老師的嚴謹負責,也給了我們很大的幫助。例如在驗收時他提問繼電器的結(jié)構(gòu)和它內(nèi)部的工作原理是怎樣的。我們對繼電器的認識只停留在了它在整個設(shè)計中的作用上而忽略了它本身內(nèi)部的結(jié)構(gòu)和原理,當老師提出這一問題時,我們認真思索,翻閱資料,在較短的時間內(nèi)牢牢的掌握住了繼電器的工作原理,老師的鼓勵也給了我們很大的信心。在此要感謝王老師,他使我們懂得在學習中要有自主鉆研的精神,要踏踏實實,從小的知識點全方位的掌握課程內(nèi)容.參考文獻1李維波,MATLAB在電氣工程中的應(yīng)用,中國電力出版社,20072胡漢才,單片機原理及其接口技術(shù),清華大學出版社,2004附錄1:元器件清單1. 實驗指定所用電路板2. 51單片機wave仿真器3. +5V,12V穩(wěn)壓電源

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!