數(shù)字電子鐘論文 數(shù)字電子鐘的設(shè)計(jì)

上傳人:燈火****19 文檔編號(hào):44106072 上傳時(shí)間:2021-12-05 格式:DOCX 頁數(shù):30 大?。?05.65KB
收藏 版權(quán)申訴 舉報(bào) 下載
數(shù)字電子鐘論文 數(shù)字電子鐘的設(shè)計(jì)_第1頁
第1頁 / 共30頁
數(shù)字電子鐘論文 數(shù)字電子鐘的設(shè)計(jì)_第2頁
第2頁 / 共30頁
數(shù)字電子鐘論文 數(shù)字電子鐘的設(shè)計(jì)_第3頁
第3頁 / 共30頁

下載文檔到電腦,查找使用更方便

10 積分

下載資源

還剩頁未讀,繼續(xù)閱讀

資源描述:

《數(shù)字電子鐘論文 數(shù)字電子鐘的設(shè)計(jì)》由會(huì)員分享,可在線閱讀,更多相關(guān)《數(shù)字電子鐘論文 數(shù)字電子鐘的設(shè)計(jì)(30頁珍藏版)》請(qǐng)?jiān)谘b配圖網(wǎng)上搜索。

1、湖北輕工職業(yè)技術(shù)學(xué)院畢業(yè)設(shè)計(jì)(論文)數(shù)字電子鐘的設(shè)計(jì)姓名:學(xué)號(hào):系部:信息工程系專業(yè): 電子信息工程技術(shù)班級(jí):指導(dǎo)教師:日期:湖北輕工職業(yè)技術(shù)學(xué)院畢業(yè)設(shè)計(jì)(論文)任務(wù)書學(xué)生姓名:專業(yè)班級(jí):指導(dǎo)教師: E-mail : kevinix設(shè)計(jì)(論文)題目: 數(shù)字電子鐘的設(shè)計(jì)設(shè)計(jì)(論文)主要內(nèi)容:1 .總體介紹數(shù)字時(shí)鐘。包括:目前常見數(shù)字時(shí)鐘的實(shí)現(xiàn)方式有哪些、各自特點(diǎn)、本設(shè)計(jì)采用的實(shí)現(xiàn)方式具有的特點(diǎn)或好處。2 .設(shè)計(jì)的數(shù)字鐘應(yīng)具有的基本功能:數(shù)字顯示小時(shí)、分、秒。設(shè)計(jì)要求精度為1秒,可24小時(shí)制或12小時(shí)制。并還具有擴(kuò)展功能。3 .數(shù)字鐘的工作方框圖、電路原理圖。分別說明各功能模塊的基本組成、工作機(jī)

2、理、畫出各功能模塊的電路圖。各個(gè)模塊之間怎樣聯(lián)系,時(shí)鐘信號(hào)傳輸路徑、 方向和頻率變化用文字對(duì)原理圖作輔助說明。4 .根據(jù)電路原理圖。說明使用集成電路的邏輯功能、主要參數(shù)、使用方法、引腳 安排,并說明所選用的其它電子元件的功能。5 .實(shí)現(xiàn)電路。選擇合適的芯片以及顯示器件。6 .小結(jié):設(shè)計(jì)過程中遇到的問題及解決辦法、課程設(shè)計(jì)過程體會(huì)、創(chuàng)新點(diǎn)、新穎性、應(yīng)用價(jià)值等。要求完成的主要任務(wù):本課題要求完成的主要任務(wù)是如何實(shí)現(xiàn)數(shù)字鐘。 首先是對(duì)數(shù)字鐘的基本知識(shí)有 個(gè)大致回顧,說明數(shù)字鐘的實(shí)現(xiàn)方法;其次說明所選用的設(shè)計(jì)方法的特點(diǎn);然后 實(shí)現(xiàn)電路的原理、各功能模塊的作用及相互關(guān)系,并在軟件仿真平臺(tái)上實(shí)現(xiàn)電路; 最

3、后在硬件平臺(tái)上完成數(shù)字鐘的制作。指導(dǎo)教師簽名: 教研室主任簽名:湖北輕工職業(yè)技術(shù)學(xué)院畢業(yè)設(shè)計(jì)(論文)開題報(bào)告題目數(shù)字電子鐘的設(shè)計(jì)系部 信息工程系專業(yè) 電子信息工程技術(shù)班級(jí)姓名學(xué)號(hào)指導(dǎo)教師2013年2月27 日一、選題的依據(jù)及意義隨著電子技術(shù)的發(fā)展,電子時(shí)鐘在各個(gè)領(lǐng)域的運(yùn)用也越來越廣泛。人們對(duì)它的 認(rèn)識(shí)也逐步加深,且對(duì)于電子時(shí)鐘的性能問題要求也越來越高。所以此課題重在 時(shí)鐘的功能的設(shè)計(jì)上不斷完善,外加自己的創(chuàng)意,解決一些實(shí)際問題,讓理論與 實(shí)踐結(jié)合起來。二、國內(nèi)外研究概況及發(fā)展趨勢(shì)電子鐘有著很長的歷史,從民國19年的電鐘,研制始于60年代中期的國內(nèi)電 晶體、半導(dǎo)體管鐘,到研制始于70年代末的石英

4、電子鐘,再到今天我們所用的智 能電子鐘。以前的電子鐘存在著很多缺點(diǎn),其外觀體積龐大,在功能上有死擺、 走時(shí)時(shí)間不長、走時(shí)精確度不高等缺點(diǎn)。如今無論是外觀,還是在功能上,電子 鐘都有了很大的改進(jìn)。數(shù)字鐘是采用數(shù)字電路實(shí)現(xiàn)對(duì)時(shí),分,秒.數(shù)字顯示的計(jì)時(shí)裝置,廣泛用于個(gè)人 家庭,車站,碼頭辦公室等公共場(chǎng)所,成為人們?nèi)粘I钪胁豢缮俚谋匦杵?,由于?shù)字集成電路的發(fā)展和石英晶體振蕩器的廣泛應(yīng)用 ,使得數(shù)字鐘的精度,遠(yuǎn)遠(yuǎn)超過 老式鐘表,鐘表的數(shù)字化給人們生產(chǎn)生活帶來了極大的方便,而且大大地?cái)U(kuò)展了 鐘表原先的報(bào)時(shí)功能。諸如定時(shí)自動(dòng)報(bào)警、按時(shí)自動(dòng)打鈴、時(shí)間程序自動(dòng)控制、 定時(shí)廣播、自動(dòng)起閉路燈、定時(shí)開關(guān)烘箱、通斷

5、動(dòng)力設(shè)備、甚至各種定時(shí)電氣的 自動(dòng)啟用等,所有這些,都是以鐘表數(shù)字化為基礎(chǔ)的。因此,研究數(shù)字鐘及擴(kuò)大 其應(yīng)用,有著非?,F(xiàn)實(shí)的意義.三、研究內(nèi)容及實(shí)現(xiàn)方案數(shù)字鐘是一種用數(shù)字電路技術(shù)實(shí)現(xiàn)時(shí)、分、秒計(jì)時(shí)的裝置,與機(jī)械式時(shí)鐘相比 具有更高的更長的使用壽命,因此得到了廣泛的使用。在數(shù)字鐘的發(fā)展上看,主 要向小型化、多功能化發(fā)展。數(shù)字鐘從原理上講是一種典型的數(shù)字電路,其中包 括了組合邏輯電路和時(shí)序電路。傳統(tǒng)的設(shè)計(jì)方法有兩種,一是利用組合邏輯電路 和時(shí)序電路等中小規(guī)模集成電路來設(shè)計(jì);一是利用單片機(jī)編程技術(shù)來設(shè)計(jì)。這兩 種設(shè)計(jì)都存在硬件復(fù)雜,設(shè)計(jì)周期長,成本高等缺點(diǎn)。本次需要設(shè)計(jì)一個(gè)數(shù)字電 子時(shí)鐘,以單片機(jī)為

6、核心器件。自動(dòng)計(jì)時(shí),由液晶顯示器顯示時(shí),分和秒。具備 定時(shí)鬧鐘功能,具有很強(qiáng)的適用性,可廣泛應(yīng)用于市場(chǎng)。1 .經(jīng)過網(wǎng)絡(luò)搜索,及相關(guān)書目,查詢本設(shè)計(jì)需要的資料2 .查閱相關(guān)的單片機(jī)信息,選擇合適的單片機(jī)和相關(guān)元件3 .確定設(shè)計(jì)方案,寫出方案的實(shí)現(xiàn)步驟,書寫中文摘要4 .畫出控制原理圖,焊接硬件并對(duì)程序進(jìn)行調(diào)試5 .撰寫論文。四、 目標(biāo)、主要特色及預(yù)計(jì)工作進(jìn)度目標(biāo)是設(shè)計(jì)一個(gè)以單片機(jī)為核心器件。自動(dòng)計(jì)時(shí),由6位LED顯示器顯示時(shí),分和秒的數(shù)字電子鐘。其主要特色是具有定時(shí)鬧鐘功能。2013年3月5-12日:搜集相關(guān)資料,熟悉畢業(yè)設(shè)計(jì)課題;2013年3月13-17日:總體方案設(shè)計(jì);2013年3月18-3

7、1日:軟件系統(tǒng)設(shè)計(jì);2013年4月1-15日:硬件系統(tǒng)設(shè)計(jì)及電路制作;2013年4月16-30日系統(tǒng)調(diào)試;2013年5月1-15日編寫畢業(yè)論文。摘要加入世貿(mào)組織后,中國將面臨激烈的競爭。這場(chǎng)比賽將是一場(chǎng)科技實(shí)力,管理水 平和人才素質(zhì)的較量,風(fēng)險(xiǎn)和機(jī)遇并存,及電子產(chǎn)品的發(fā)展變化迅速,不僅在通 信技術(shù)的數(shù)字替代模擬信號(hào),甚至在我們?nèi)粘5纳钭寯?shù)字化取締.相比模擬鐘能給人一種一目了然的感覺,它不僅可以顯示在同一時(shí)間,時(shí)、分和秒,并且可以 完成準(zhǔn)確的校正。同時(shí),數(shù)字時(shí)鐘可以準(zhǔn)確的時(shí)間,你的時(shí)間精確到報(bào)時(shí)的聲音, 提醒你在這個(gè)時(shí)候,需要做的事情。老式時(shí)鐘比它更適合現(xiàn)代生活。一個(gè)數(shù)字時(shí) 鐘振蕩器,計(jì)數(shù)器,

8、譯碼器和顯示器電路精確時(shí)間“小時(shí)”“分” “秒”與數(shù)字顯小,并需要校上電路使其準(zhǔn)確的工作也刊市定時(shí)和計(jì)時(shí)功能,數(shù)字鐘及擴(kuò)大 其應(yīng)用,有著非?,F(xiàn)實(shí)的意義。關(guān)鍵詞:數(shù)字鐘 振蕩器 計(jì)數(shù)器 譯碼顯示湖北輕工職業(yè)技術(shù)學(xué)院目 錄引 言2第1章數(shù)字電子時(shí)鐘總體設(shè)計(jì) 31.1 設(shè)計(jì)方案 31.1.1 核心控制方案 31.1.2 顯示部分方案 31.1.3 鍵盤方案31.2 方案選擇31.2.1 時(shí)間顯示41.2.2 時(shí)間調(diào)整41.2.3 鬧鐘設(shè)置4第2章數(shù)字電子時(shí)鐘硬件電路設(shè)計(jì) 52.1 硬件電路采用器件 52.2 AT89C51單片機(jī)模塊 52.3 可編程I/O 擴(kuò)展一8155芯片模塊 62.3.1 81

9、55 芯片的內(nèi)部結(jié)構(gòu) 62.3.2 8155 芯片與51單片機(jī)接口 62.4 顯示模塊72.4.1 數(shù)碼管結(jié)構(gòu)及工作原理 72.4.2 數(shù)碼管分類72.4.4 數(shù)碼管光源的優(yōu)缺點(diǎn) 82.5 矩陣鍵盤模塊92.5.1 矩陣鍵盤的工作原理 9第3章數(shù)字電子時(shí)鐘軟件電路設(shè)計(jì) 103.1 系統(tǒng)軟件設(shè)計(jì)流程圖 103.1.1 主程序流程103.1.2 按鍵處理流程 103.1.3 定時(shí)器中斷流程 103.1.4 時(shí)間顯示流程 11第4章數(shù)字電子時(shí)鐘系統(tǒng)調(diào)試 134.1 軟、硬彳牛調(diào)試134.1.1 測(cè)試 AT89C51 單片機(jī)、8155、74LS07 芯片134.1.2 測(cè)試硬件電路134.1.3 軟件

10、電路調(diào)試 134.2 統(tǒng)一調(diào)試13總結(jié)14致 謝14參考文獻(xiàn) 16附錄1720世紀(jì)末,電子技術(shù)獲得了飛速的發(fā)展,在其推動(dòng)下,現(xiàn)代電子產(chǎn)品幾乎滲 透了社會(huì)的各個(gè)領(lǐng)域,有力地推動(dòng)了社會(huì)生產(chǎn)力的發(fā)展和社會(huì)信息化程度的提高, 同時(shí)也使現(xiàn)代電子產(chǎn)品性能進(jìn)一步提高,產(chǎn)品更新?lián)Q代的節(jié)奏也越來越快。時(shí)間對(duì)人們來說總是那么寶貴,工作的忙碌性和繁雜性容易使人忘記當(dāng)前的時(shí)間。忘 記了要做的事情,當(dāng)事情不是很重要的時(shí)候,這種遺忘無傷大雅。但是,一旦重 要事情,一時(shí)的耽誤可能釀成大禍。目前,單片機(jī)正朝著高性能和多品種方向發(fā)展趨勢(shì)將是進(jìn)一步向著科技化、 低功耗、小體積、大容量、高性能、低價(jià)格和外圍電路內(nèi)裝化等幾個(gè)方面發(fā)展

11、。 下面是單片機(jī)的主要發(fā)展趨勢(shì)。單片機(jī)應(yīng)用的重要意義還在于,它從根本上改變 了傳統(tǒng)的控制系統(tǒng)設(shè)計(jì)思想和設(shè)計(jì)方法。從前必須由模擬電路或數(shù)字電路實(shí)現(xiàn)的 大部分功能,現(xiàn)在已能用單片機(jī)通過軟件方法來實(shí)現(xiàn)了。這種軟件代替硬件的控 制技術(shù)也稱為微控制技術(shù),是傳統(tǒng)控制技術(shù)的一次革命。單片機(jī)模塊中最常見的是數(shù)字鐘,數(shù)字鐘是一種用數(shù)字電路技術(shù)實(shí)現(xiàn)時(shí)、分、 秒計(jì)時(shí)的裝置,與機(jī)械式時(shí)鐘相比具有更高的準(zhǔn)確性和直觀性,且無機(jī)械裝置, 具有更更長的使用壽命,因此得到了廣泛的使用。電子鐘有著很長的歷史,從民國19年的電鐘,研制始于60年代中期的國內(nèi) 電晶體、半導(dǎo)體管鐘,到研制始于 70年代末的石英電子鐘,再到今天我們所用的

12、 智能電子鐘。以前的電子鐘存在著很多缺點(diǎn),其外觀體積龐大,在功能上有死擺、 走時(shí)時(shí)間不長、走時(shí)精確度不高等缺點(diǎn)。如今無論是外觀,還是在功能上,電子 鐘都有了很大的改進(jìn)。數(shù)字鐘是采用數(shù)字電路實(shí)現(xiàn)對(duì)時(shí),分,秒.數(shù)字顯示的計(jì)時(shí)裝置,廣泛用于個(gè)人 家庭,車站,碼頭辦公室等公共場(chǎng)所,成為人們?nèi)粘I钪胁豢缮俚谋匦杵罚捎跀?shù)字集成電路的發(fā)展和石英晶體振蕩器的廣泛應(yīng)用,使得數(shù)字鐘的精度,遠(yuǎn)遠(yuǎn)超過老式鐘表,鐘表的數(shù)字化給人們生產(chǎn)生活帶來了極大的方便,而且大大地?cái)U(kuò)展了 鐘表原先的報(bào)時(shí)功能。諸如定時(shí)自動(dòng)報(bào)警、按時(shí)自動(dòng)打鈴、時(shí)間程序自動(dòng)控制、 定時(shí)廣播、自動(dòng)起閉路燈、定時(shí)開關(guān)烘箱、通斷動(dòng)力設(shè)備、甚至各種定時(shí)電氣的

13、自動(dòng)啟用等,所有這些,都是以鐘表數(shù)字化為基礎(chǔ)的。因此,研究數(shù)字鐘及擴(kuò)大 其應(yīng)用,有著非?,F(xiàn)實(shí)的意義。第1章 數(shù)字電子時(shí)鐘總體設(shè)計(jì)1.1 設(shè)計(jì)方案1.1.1 核心控制方案采用單片機(jī)作為數(shù)字電子時(shí)鐘的核心控制部分1.1.2 顯示部分方案方案一:靜態(tài)顯示就是當(dāng) CPU將要顯示的字或字段碼送到輸出口,顯示器就 可以顯示出所要顯示的字符,如果 CPU不去改寫它,它將一直保持下去;靜態(tài)顯 示硬件開銷大,電路復(fù)雜,信息刷新速度慢。方案二:動(dòng)態(tài)顯示則是一位一位地輪流點(diǎn)亮顯示器地各個(gè)位(掃描)。對(duì)于顯示器的每一位而言,每隔一段時(shí)間點(diǎn)亮一次;動(dòng)態(tài)顯示耗能較小,但編寫程序較 復(fù)雜。動(dòng)態(tài)顯示硬件連接簡單,信息刷新速度

14、快。1.1.3 鍵盤方案矩陣式鍵盤。矩陣式鍵盤采用的是行列式結(jié)構(gòu),按鍵設(shè)置在行列的交點(diǎn)上.(當(dāng) 接口線數(shù)量為8時(shí),可以將4根接口線定義為行線,另4根接口線定義為列線,形成 4X 3鍵盤,可以配置12個(gè)按鍵。)1.2 方案選擇經(jīng)過論證,決定采用AT89C51單片機(jī)作為數(shù)字電子時(shí)鐘的核心部分,采用8155 以及6位數(shù)碼管作為顯示系統(tǒng),采用4X3矩陣鍵盤作為數(shù)字電子時(shí)鐘的控制系統(tǒng) 的方案。8155如圖1-1數(shù)字電子時(shí)鐘硬件電路圖AT89C51圖1-1數(shù)字電子時(shí)鐘控制系統(tǒng)1.2.1 時(shí)間顯示由于本方案需要顯示時(shí)、分、秒三方面內(nèi)容,所以計(jì)劃采用 6位數(shù)碼管作為 顯示系統(tǒng),上電后系統(tǒng)自動(dòng)進(jìn)入時(shí)鐘顯示,從

15、00:00:00開始計(jì)時(shí),此時(shí)可以設(shè)定 當(dāng)前時(shí)間。1.2.2 時(shí)間調(diào)整按下矩陣鍵盤上的 C/R鍵(時(shí)間設(shè)定/起動(dòng)計(jì)時(shí)鍵),系統(tǒng)停止計(jì)時(shí),進(jìn)入時(shí) 間設(shè)定狀態(tài),系統(tǒng)保持原有顯示,等待鍵入當(dāng)前時(shí)間,根據(jù)需要按下09數(shù)字鍵可以順序設(shè)置分和秒,并在相應(yīng)的 LED上顯示設(shè)置值。6位設(shè)置完畢后,系統(tǒng)將從 設(shè)定后的時(shí)間開始計(jì)時(shí)顯示。1.2.3 鬧鐘設(shè)置按下ALM (鬧鐘設(shè)置/啟鬧/停鬧鍵),系統(tǒng)繼續(xù)計(jì)時(shí),顯示00:00:00 ,進(jìn)入 鬧鐘設(shè)置狀態(tài),等待鍵入啟鬧時(shí)間,根據(jù)需要按下 09數(shù)字鍵可以順序進(jìn)行相應(yīng) 的時(shí)間設(shè)置,并在相應(yīng)的LED上顯示設(shè)置值。6位設(shè)置完畢后,系統(tǒng)啟動(dòng)定時(shí)啟鬧 功能,并恢復(fù)時(shí)間顯示。定時(shí)時(shí)

16、間一到,蜂鳴器就會(huì)鳴叫,直至重新按下ALM鍵停鬧,并取消鬧鐘設(shè)置。第24頁第2章 數(shù)字電子時(shí)鐘硬件電路設(shè)計(jì)2.1 硬件電路采用器件數(shù)字電子時(shí)鐘電路有80C51單片機(jī)、可編程I/O接口芯片8155、4X3鍵盤輸 入電路、6位LED顯示輸出電路及74LS07為主要部件的蜂鳴器啟鬧電路組成。如圖2-1數(shù)字電子時(shí)鐘硬件電路圖U2L圖2-1數(shù)字電子時(shí)鐘硬件電路圖dp g fed c- b ia888888LEDO LED I LED2 LEI3 LEE4 LtO3!PI.0PLIp。nPO.lPi.2POJPt.3POJPl.4POTPL .5PO.JPL.6pm 6PI.7P0.7INTIP2.UIN

17、TOP2.Lrb EK5 p1 2TlP2 iTOP2.4R.SETRXD一TXD52 ALE.TROGWRPSENADOPAOAD1PAIAD2PAADJPA3AD4PA4ADSPA5AD&PA6AD7PA7PI訓(xùn)CFPBINI55PB2WRPB3IO/HPB4ALEPB5P06PB7timer or rPCDPCI TIM EH IN?C2PC3PC4RESEIPCS2.2 AT89C51單片機(jī)模塊AT89C51單片機(jī)是一種帶4K字節(jié)閃爍可編程可擦除只讀存儲(chǔ)器(FPEROM Falsh Programmable and Erasable Read Only Memory )的低電壓,高性能

18、 CMOS8 位微處理器,俗稱單片機(jī)。該器件采用 ATMEH密度非易失存儲(chǔ)器制造技術(shù)制造, 與工業(yè)標(biāo)準(zhǔn)的MCS-5甘旨令集和輸出管腳相兼容。由于將多功能 8位CPUffi閃爍存 儲(chǔ)器組合在單個(gè)芯片中,ATMEL勺AT89C51是一種高效微控制器,為很多嵌入式控 制系統(tǒng)提供了一種靈活性高且價(jià)廉的方案。2.3 可編程I/O擴(kuò)展一8155芯片模塊8155是單片機(jī)常用的接口擴(kuò)展芯片,其內(nèi)部包含 256B的靜態(tài)RAM 2個(gè)8位 的并行I/O接口,1個(gè)6位的并行I/O接口和一個(gè)14位的定時(shí)/計(jì)數(shù)器。2.3.1 8155 芯片的內(nèi)部結(jié)構(gòu)8155芯片內(nèi)部共包括4個(gè)部分,分別是1、256B的靜態(tài)RAM存取時(shí)間為

19、400ns2、3個(gè)通用的輸入/輸出口 PA PB PC3、1個(gè)14位的可編程定時(shí)/計(jì)數(shù)器。4、1個(gè)8位命令寄存器(只能寫入)/8位狀態(tài)寄存器(只能讀出)。8155芯片的具體結(jié)構(gòu)如圖 2-2。圖2-2 8155 芯片結(jié)構(gòu)圖2.3.2 8155 芯片與51單片機(jī)接口8155芯片與51單片機(jī)接口如圖2-3所示圖2-3 8155芯片與51單片機(jī)接口2.4 顯示模塊根據(jù)硬件電路要求,本次數(shù)字電子時(shí)鐘設(shè)計(jì)決定采用6位數(shù)碼管作為顯示模塊。2.4.1 數(shù)碼管結(jié)構(gòu)及工作原理LED數(shù)碼管(LEDSegmentDisplays )是由多個(gè)發(fā)光二極管封裝在一起組成 “8” 字型的器件,引線已在內(nèi)部連接完成,只需引出它

20、們的各個(gè)筆劃,公共電極。 LED 數(shù)碼管常用段數(shù)一般為7段有的另加一個(gè)小數(shù)點(diǎn),還有一種是類似于3位“+1”型。位數(shù)有半位,1, 2, 3, 4, 5, 6, 8, 10位等等。例如圖2-4是4位8段共陰 極數(shù)碼管的內(nèi)部電路,它們的發(fā)光原理是一樣的,只是它們的電源極性不同而已。 顏色有紅,綠,藍(lán),黃等幾種。led數(shù)碼管廣泛用于儀表,時(shí)鐘,車站,家電等場(chǎng) 合。選用時(shí)要注意產(chǎn)品尺寸顏色,功耗,亮度,波長等。圖2-4 4位8段數(shù)碼管的連接2.4.2 數(shù)碼管分類2.4.2.1 從控制方式上分:分為內(nèi)控方式(內(nèi)部有單片機(jī),通電自動(dòng)變色)和外控方式(需要外接控制 器才能變色)2.4.2.2 從變化方式上分:

21、分為固定色彩的和七彩、全彩的;固定色彩的是用來勾輪廓的,全彩的可以 勾輪廓,也可以組成管屏顯示文字、視頻等。2.4.2.3 從尺寸上分:有D50的、D30的,D50和D30表示直徑。2.4.2.4 從內(nèi)部可控性上分:有1米6段的,有1米8段的和1米12段、1米16段、1米32段的。也就 是1米的管子內(nèi)有幾段可以獨(dú)立受控;1米段數(shù)越多,做視頻的效果越好。如果密 度低,或者做些追逐效果,做1米6段也就可以了。2.4.2.5 從LED數(shù)量上分:有1米96顆燈的,有1米144顆燈的;燈越多效果越好。一般做全彩的都是 用1米144顆燈的。2.4.2.6 從供電上分:分為高壓供電(直接220V供電)和低壓

22、供電(12v供電,220v電源需要加開關(guān) 電源轉(zhuǎn)換);一般選擇低壓供電的,比較可靠穩(wěn)定,高壓供電的容易燒毀。2.4.2.7 按像素點(diǎn)分:一米16段燈管 就是1米的燈管有16個(gè)像素點(diǎn)。一般有6段數(shù)碼管、8段數(shù) 碼管、12段數(shù)碼管、16段數(shù)碼管、32段數(shù)碼管等,16段的比較多。如6段數(shù)碼 管一般使用在輪廓項(xiàng)目上。2.4.4 數(shù)碼管光源的優(yōu)缺點(diǎn)總的來說,LED光源的來源有兩種做法:一種是使用傳統(tǒng)小功率LED作組合,一般多達(dá)上百顆甚至數(shù)百顆,電源設(shè)計(jì)復(fù)雜。另一種是使用大功率管作光源,價(jià) 格比較貴。兩種方法都不可避免地要將散熱設(shè)計(jì)和工作可靠性作為主要設(shè)計(jì)考慮 因素,國內(nèi)多應(yīng)用于政府示范性工程,真正市場(chǎng)化

23、運(yùn)作的工程很少,國外這方面 的應(yīng)用實(shí)例較多,但其最大的缺點(diǎn)依然是可靠性、出光流明數(shù)和價(jià)格,很多工程 由于LED品質(zhì)低劣,沒有很好地表現(xiàn)出壽命長的優(yōu)點(diǎn)。還有,從成本、市場(chǎng)的角 度考慮,LED作為照明光源,具是否與太陽能結(jié)合使用,在設(shè)計(jì)上需要走不同的路 線,并不是單獨(dú)作為一種光源來開發(fā)就能完成的。2.5矩陣鍵盤模塊矩陣鍵盤是為了當(dāng)鍵盤中按鍵數(shù)量較多時(shí),為了減少 I/O 口的占用,將按鍵 排列成矩陣形式,如圖2-55所示。2.5.1矩陣鍵盤的工作原理在矩陣式鍵盤中,每條水平線和垂直線在交叉處不直接連通,而是通過一個(gè) 按鍵加以連接。這樣,一個(gè)端口(如 P1 口)就可以構(gòu)成4X4=16個(gè)按鍵,比之直 接

24、將端口線用于鍵盤多出了一倍,而且線數(shù)越多,區(qū)別越明顯,比如再多加一條 線就可以構(gòu)成20鍵的鍵盤,而直接用端口線則只能多出一鍵(9鍵)。由此可見, 在需要的鍵數(shù)比較多時(shí),采用矩陣法來做鍵盤是合理的。矩陣式結(jié)構(gòu)的鍵盤顯然比直接法要復(fù)雜一些,識(shí)別也要復(fù)雜一些,如圖2-16所示,列線通過電阻接正電源,并將行線所接的單片機(jī)的I/O 口作為輸出端,而列線所接的I/O 口則作為輸入。這樣,當(dāng)按鍵沒有按下時(shí),所有的輸入端都是高 電平,代表無鍵按下。行線輸出是低電平,一旦有鍵按下,則輸入線就會(huì)被拉低, 這樣,通過讀入輸入線的狀態(tài)就可得知是否有鍵按下了。第3章 數(shù)字電子時(shí)鐘軟件電路設(shè)計(jì)3.1 系統(tǒng)軟件設(shè)計(jì)流程圖3

25、.1.1 主程序流程主程序上可分為啟動(dòng)定時(shí)器、按鍵檢測(cè)、時(shí)間顯示三個(gè)部分。如圖 3-1啟動(dòng)定時(shí)器按鍵檢測(cè)時(shí)間顯示圖3-1主程序流程圖3.1.2 按鍵處理流程按鍵處理是先檢測(cè)秒按鍵是否按下,秒按鍵如果按下,秒就加 1;如果沒有按 下,就檢測(cè)分按鍵是否按下,分按鍵如果按下,分就加 1;如果沒有按下,就檢測(cè) 時(shí)按鍵是否按下,時(shí)按鍵如果按下,時(shí)就加1;如果沒有按下,就把時(shí)間顯示出來。 如圖3-23.1.3 定時(shí)器中斷流程定時(shí)器中斷時(shí)是先檢測(cè)1秒是否到,1秒如果到,秒單元就加1;如果沒到, 就檢測(cè)1分鐘是否到,1分鐘如果到,分單元就加1;如果沒到,就檢測(cè)1小時(shí)是 否到,1小時(shí)如果到,時(shí)單元就加1,如果沒

26、到,就顯示時(shí)間。3.1.4 時(shí)間顯示流程時(shí)間顯示是先秒個(gè)位計(jì)算顯示,然后是秒十位計(jì)算顯示,再是分個(gè)位計(jì)算顯 示,再然后是分十位顯示,再就是時(shí)個(gè)位計(jì)算顯示,最后是時(shí)十位顯示。圖3-2按鍵處理流程圖圖3-3定時(shí)器中斷流程圖第4章 數(shù)字電子時(shí)鐘系統(tǒng)調(diào)試4.1 軟、硬件調(diào)試4.1.1 測(cè)試 AT89C51 單片機(jī)、8155、74LS07芯片主要內(nèi)容:檢測(cè)各芯片是否完好,各引腳、各功能是否正常。4.1.2 測(cè)試硬件電路主要內(nèi)容:檢測(cè)硬件電路板焊接是否完好,有無短路、短路情況出現(xiàn)。4.1.3 軟件電路調(diào)試主要內(nèi)容:將所編寫程序輸入仿真系統(tǒng),檢測(cè)程序有無錯(cuò)誤或遺漏。4.2 統(tǒng)一調(diào)試主要內(nèi)容:將硬件和軟件結(jié)合

27、起來進(jìn)行系統(tǒng)的統(tǒng)一調(diào)試,實(shí)現(xiàn)PC機(jī)與單片機(jī)通訊,使單片機(jī)能通過數(shù)碼管顯示時(shí)間??偨Y(jié)本系統(tǒng)是以單片機(jī)AT89C51為核心,利用I/O擴(kuò)展芯片8155和6位數(shù)碼 管顯示時(shí)間,并通過矩陣鍵盤進(jìn)行調(diào)節(jié)。此外此時(shí)鐘還具有鬧鐘功能,當(dāng)鬧鐘啟 鬧時(shí)會(huì)通過蜂鳴器發(fā)出聲音,已達(dá)到提醒人的目的。數(shù)字電子時(shí)鐘以其功能全面、 調(diào)試方便、造價(jià)低廉,可長期穩(wěn)定使用等特點(diǎn)滿足了大多數(shù)人的需要。當(dāng)秒的開關(guān)接由晶體振蕩器直接生成的1HZ信號(hào),分、時(shí)的開關(guān)分別接來自 秒、分的進(jìn)位時(shí),LED顯示器可準(zhǔn)確的顯示 0: 00: 0023: 59: 59, 24小時(shí) 制的時(shí)間計(jì)數(shù)。通過對(duì)時(shí)、分兩開關(guān)調(diào)節(jié),可分別實(shí)現(xiàn)調(diào)時(shí)調(diào)分的功能。通過對(duì)

28、定時(shí)電路中,分、時(shí)的開關(guān)的調(diào)節(jié),可定時(shí)。當(dāng)時(shí)間顯示為所定時(shí)間 時(shí),可實(shí)現(xiàn)一分鐘的報(bào)時(shí)。在整套監(jiān)測(cè)系統(tǒng)中,主要的環(huán)節(jié) AT89C51單片機(jī)的處理和數(shù)碼管顯示。通過 此次的設(shè)計(jì)使我明白了電子時(shí)鐘的結(jié)構(gòu)及原理,以及電子時(shí)鐘應(yīng)用在我們身邊的 每個(gè)角落的原因。我相信,通過不斷的實(shí)驗(yàn)以及這項(xiàng)技術(shù)不斷地變成熟,數(shù)字電 子時(shí)鐘會(huì)更廣泛的應(yīng)用在我們的生活中。致謝歷時(shí)將近幾個(gè)月的時(shí)間,畢業(yè)設(shè)計(jì)終于接近尾聲,在論文的寫作過程中遇到 了無數(shù)的困難和障礙,但在老師以及同學(xué)們的幫助下各個(gè)困難都被我們擊破。在 這里,我要衷心的感謝我的指導(dǎo)老師一一趙老師,他對(duì)我的教導(dǎo)和幫助,讓我學(xué) 會(huì)了很多的專業(yè)知識(shí),補(bǔ)充了我的許多不足。及

29、時(shí)的幫助我解決不懂的問題并不 厭其煩的幫助我們對(duì)論文進(jìn)行修改。感謝這篇論文所涉及到的各位學(xué)者。本文引用了數(shù)位學(xué)者的研究文獻(xiàn),如果 沒有各位學(xué)者的研究成果的幫助和啟發(fā),我將很難完成本篇論文的寫作。感謝我的同學(xué)和朋友,在我寫論文的過程中給予我了很多素材,還在論文的 撰寫和排版燈過程中提供熱情的幫助。由于我的學(xué)術(shù)水平有限,所寫論文難免會(huì)有不足之處,懇請(qǐng)各位老師批評(píng)和 指正!1張曄等.單片機(jī)應(yīng)用技術(shù)M.高等教育出版社.2006-62夏敏磊.電子電路分析制作與調(diào)試M.電子工業(yè)出版社.2010-103郭天祥.51單片機(jī)及C語言教程M.電子工業(yè)出版社.2009-124廖芳.電子產(chǎn)品制作工藝與實(shí)訓(xùn)M.電子工業(yè)

30、出版社.2010-75楊旭,劉盾.EDAg術(shù)基礎(chǔ)與實(shí)驗(yàn)教程M.清華大學(xué)出版社.2010-76謝嘉奎.電子線路M.高等教育出版社.2003-2口 胡翔酸.電路基礎(chǔ)M.高等教育出版社.2009-128王毓銀.數(shù)字電路邏輯設(shè)計(jì)M.高等教育出版社.2004-2附錄主程序代碼如下。ORG0000HAJMPMAINORG000BHAIMPCLOCKORG0030HPORTEQU8000HPOTTAEQU8001HPORTBEQU8002HPORTCEQU8003HDISP0EQU30HDISP1EQU31HDISP2EQU32HDISP3EQU33HDISP4EQU34HDISP5EQU35HHOUREQ

31、U3CHMINEQU3DHSECEQU3EHMSECEQU3FHAHOUREQU40HAMINEQU41HASECEQU42HF1EQUPSW.1MAIN:MOVSP,#50H;設(shè)置堆棧區(qū)MOVXDPRT,#PORT;8155初始化MOVA,#03HMOVXDPTR,ACLRF1;鬧鐘標(biāo)志位清零CLRF0;允許計(jì)時(shí)顯示MOVAHOUR,#0FFH;鬧鐘值寄存區(qū)置初值MOVAMIN,#0FFHMOVASEC,#1FFHMOVR7,#10;顯示緩沖區(qū)、計(jì)時(shí)緩沖區(qū)清零MOVRO,#DISP0CLRALOOP:MOVRO,AINCR0DJNZR7, LOOPMOVTMOD,#01H;定時(shí)器T0初始化M

32、OVTL0, #0B0HMOVTHQ #3CHSETBTR0;啟動(dòng)定時(shí)器SETBEA;開中斷SETBET0BENGIN:ACALLALARM;調(diào)用定時(shí)比較子程序ACALLKEYSCAN;調(diào)用鍵盤掃描子程序CJNEA,#0AH,NEXT1;判斷是否是C/R鍵CLRTRO;暫時(shí)停止計(jì)時(shí)MOVR1, #HOUR;地址指針指向計(jì)時(shí)緩沖區(qū)首地址AJMPMODNEXT1:CJNEA,#0BH,BEGIN;判斷是否是ALM建JBF1, NEXT2;判斷鬧鐘是否正在鬧啊MOVRI,#HOUR;地址指針指向鬧鐘值寄存區(qū)首地址MOD:SETBF0;禁止顯示計(jì)時(shí)時(shí)間ACALLMODIFY;調(diào)用時(shí)間設(shè)置/鬧鐘定時(shí)子程

33、序SETBTR0;重新開始計(jì)時(shí)CLRF0;恢復(fù)顯示計(jì)時(shí)時(shí)間AJMPBEGINNEXT2:SETBP1.0;鬧鐘正在鬧響、停鬧CLRF1;鬧鐘標(biāo)志清零AJMPBEGIN定時(shí)器T0中斷服務(wù)子程序代碼如下。CLOCK:PUSHPSW;保護(hù)現(xiàn)場(chǎng)PUSHACCMOVTL0, #0B0HMOVTHQ #3CH;重裝初值,時(shí)間校正INCMSECMOVA,MSECCJNEA,#0AH,DONEMOVMSEC,#00HMOVA,SECINCADAA;二一一十進(jìn)制轉(zhuǎn)換MOVSEC,ACJNEA,#60H,DONEMOVSEC,#00HMOVA,MININCADAAMOVMIN,ACJNEA,#60H,DONEMO

34、VMIN,#00HMOVA,HOURINCADAAMOVHOUR,ACJNE A,#24H,DONE MOVHOUR,#00HDONE:POPACCPOPPSWRET1時(shí)間設(shè)置/鬧鐘定時(shí)子程序代碼如下。 MODIFY: ACALL KEYIN;調(diào)用鍵盤設(shè)置子程序ACALL COMB;調(diào)用合子子程序RET鍵盤設(shè)置子程序代碼如下。KEYIN:PUSH PSW;保護(hù)現(xiàn)場(chǎng)PUSH ACCSETBRS1MOVRO,#DISP0;R0指向顯示緩沖區(qū)首地址MOVR7, #06H;設(shè)置鍵盤輸入次數(shù)L1:CLRRS1ACALL KEYSCAN;調(diào)用鍵盤掃描子程序,取按下鍵的鍵 號(hào)SETBRS1CJNE A,#0

35、AH,L2;所鍵入數(shù)合法性的檢測(cè)(是否大于 9)L2:JNCL1;按鍵值大于9,重新輸入MOVRO,A;鍵號(hào)送顯示緩沖區(qū)INCR0DJNZR7, L1;判斷6位時(shí)間是否輸入完,若未完則 繼續(xù)CLRRS1;恢復(fù)現(xiàn)場(chǎng)POPACCPOPPSWRET鍵盤掃描仔程序代碼如下。KEYSCAN: ACALL TEST;調(diào)用判斷按鍵是否按下的子程序 TESTJNZREMOV;有鍵按下時(shí),調(diào)用消抖動(dòng)延時(shí)子程序ACALL DISPLAYACALL ALARMAJMP KEYSCAN;無鍵按下時(shí),繼續(xù)判斷是否啟鍵按下REMOV: ACALL DISPLAY;調(diào)用顯示子程序,延時(shí)消抖動(dòng)ACALL TEST;再次判斷是

36、否啟鍵按下JNZLIST;有鍵按下時(shí),跳轉(zhuǎn)至逐列掃描ACALL DISPLAYACALL ALARMAJMPKEYSCAN;無鍵按下時(shí),繼續(xù)判斷是否有鍵按下LIST:MOVR2, #0FEH;首列掃描字送R2MOVR3, #00H;首列鍵號(hào)送R3LINE0:MOVDPTR,#PORTA;DPTR旨針指向8155的A 口MOVA,R2;首列掃描字送AMOVXDPTR,A;首列掃描字送8155的A 口MOVDPTR,#PORTC;DPTR旨針指向8155的COMOVXA, DPTR;讀入C口的行狀態(tài)JBACC.Q LINE1;第0行鍵無鍵按下,轉(zhuǎn)至第1行MOVA,#00H;第0行有鍵按下,行首鍵號(hào)

37、送 AAJMPTRYK;求鍵號(hào)LINE1:JBACC.Q LINE2;第1行鍵無鍵按下,轉(zhuǎn)至第2行MOVA,#04H;第0行有鍵按下,行首鍵號(hào)送 AAJMPTRYK;求鍵號(hào)LINE2:JBACC.2, NEXT;第2行鍵無鍵按下,轉(zhuǎn)至下一列MOVA,#08H;第2行有鍵按下,行首鍵號(hào)送 AAJMPTRYK;求鍵號(hào)NEXT:INCR3;掃描卜一列MOVA,#08H;列掃描字送AJNBRLACC.3, EXITA;4列掃描完,重新進(jìn)行下一輪掃描;4列未掃描完,掃描字左移,掃描卜 一列MOVR2, A;掃描字送AAIMPLINE0;轉(zhuǎn)網(wǎng)掃描卜一列EXIT:AJMPKEYSCAN;等待嚇一次按鍵TRY

38、K:ADDA,R3;按公式計(jì)算鍵他,求得鍵號(hào)PUSHACC;鍵號(hào)入棧保護(hù)LETK:ACALLTEST;等待按鍵釋放JNZLETK;按鍵未釋放,繼續(xù)等待POPRETACC;按鍵釋放,鍵號(hào)出棧TEST:MOVMOVDPRT,#PORTAA,#00H;DPRT旨針指向8155的A 口MOVXDPRT,A;全掃描字00H送8155的A 口MOVDPRT,#PORTC;DPRT旨針指向8155的COMOVXA, DPRT;讀入C 口行狀態(tài)CPLA;A取反,以高電平表示有鍵按下ANLRETA,#07H;屏蔽圖5位顯示子程序代碼如下DISPLAY:JBACALLF0, DISPSEPA;允許時(shí)間顯示標(biāo)志 F

39、0=1,轉(zhuǎn)至DISP;否則調(diào)用SEPA刷新顯示緩沖區(qū)DISP:PUSHPSW;動(dòng)態(tài)掃描顯示子程序PUSHACCSETBRS0MOVDPRT,#PORTA;關(guān)顯示MOVA,#0FFHMOVXDPTR,AMOVRO, #DISP0MOVR7, #00HMOVR6, #06HMOVR5, #0FEHDIS1:MOVDPTR,#TABMOVA, R0MOVCA, A+DPTRMOVDPTR,#PORTAMOVXDPTR,AMOVDPTR,#PORTAMOVA,R5MOVXDPRT,AHERE:DJNZR7, HEREINCR0MOVA,R5RLAMOVR5, ADJNZR6, DIS1CLRRS0PO

40、PACCPOPPSWRETTAB:DB3FH,06H,5BH,4FH,66H,6DH,7DH,07HDB7FH,6FH,77H,7CH,39H,5EH,79H,71H 共陰極字型碼表合字子程序代碼如下。COMB:MOVRO, #DISP1;R0指向顯示緩沖區(qū)的小時(shí)地位ACALLCOMB1;合字CJNEA,#24H,CHKCHK:JNCEXIT1;大于24時(shí)則取消本次設(shè)置,退出MOVR1, A;小時(shí)送計(jì)時(shí)小時(shí)單元INCR1MOVRO, #DISP3;R0指向顯示緩沖區(qū)的分鐘低位ACALLCOMB1CJNEA,#60H,CHK1CHK1:JNCEXIT2;大于60時(shí)則取消本次設(shè)置,退出MOVR2,

41、 AINCR2MOVRO, #DISP5;R0指向顯示緩沖區(qū)的秒低位ACALLCOMB1CJNEA,#60H,CHK2CHK2:JNCEXIT1;大于60時(shí)則取消本次設(shè)置,退出MOVR1, ARETEXIT1:AJMPMAIN;輸入不合法則退出,計(jì)時(shí)重新清零COMB1:MOVA, R0ANLA,#0FH;取出低位MOV43H,A;暫存于43H單元DECR0;指向晨j位MOVA, R0ANLA,#0FHSWAPA;高位送高4位ORLA,43H;高、低位合并RET拆字子程序代碼如下。SEPA:PUSHPSWPUSHACCSETBRS0MOVRO, #DISP5;指向顯示緩沖區(qū)的秒低位MOVA,SE

42、CACALLSEPA1MOVA,MINACALLSEPA1MOVA,HOURACALLSEPA1CLRRS0POPACCPOPPSWRETSEPA1:MOV44H,A;暫存于44H單元ANLA,#0FH;取出低位MOVR0, A;送顯示緩沖區(qū)低位DECR0;指向顯小緩沖區(qū)局位MOVA,44HANLA,#0F0H;取出高位SWAPA;高位送往低4位,形成高位數(shù)據(jù)MOVR0, A;高位數(shù)據(jù)送顯示緩沖區(qū)高位RET定時(shí)比較子程序代碼如下。ALARM:MOVA,ASECCJNEA,SEC,BACK;秒單元相同則繼續(xù)比較,否則返回MOV A,AMINCJNE A,MIN,BACK MOVA,AHOURCJNE A,HOUR,BACKCLRP1.0SETBF1BACK:RET;分單元相同則繼續(xù)比較,否則返回;小時(shí)單元相同,定時(shí)時(shí)間到;起動(dòng)鬧鐘鳴叫;鬧鐘標(biāo)志置位畢 業(yè) 設(shè) 計(jì) (論 文)士 兀成 情 況1.2013年2月27號(hào)完成了開題報(bào)告。2.2013年3月17號(hào)完成總體方案設(shè)計(jì)。3.2013年3月31號(hào)完成軟件設(shè)計(jì)。4.2013年4月15號(hào)完成硬件系統(tǒng)設(shè)計(jì)。指 導(dǎo) 教 師息 見指導(dǎo)教師(簽名):年月日

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!