微機(jī)原理課程設(shè)計(jì)—— 定時(shí)器系統(tǒng)

上傳人:仙*** 文檔編號:38440981 上傳時(shí)間:2021-11-07 格式:DOC 頁數(shù):17 大?。?10KB
收藏 版權(quán)申訴 舉報(bào) 下載
微機(jī)原理課程設(shè)計(jì)—— 定時(shí)器系統(tǒng)_第1頁
第1頁 / 共17頁
微機(jī)原理課程設(shè)計(jì)—— 定時(shí)器系統(tǒng)_第2頁
第2頁 / 共17頁
微機(jī)原理課程設(shè)計(jì)—— 定時(shí)器系統(tǒng)_第3頁
第3頁 / 共17頁

下載文檔到電腦,查找使用更方便

10 積分

下載資源

還剩頁未讀,繼續(xù)閱讀

資源描述:

《微機(jī)原理課程設(shè)計(jì)—— 定時(shí)器系統(tǒng)》由會(huì)員分享,可在線閱讀,更多相關(guān)《微機(jī)原理課程設(shè)計(jì)—— 定時(shí)器系統(tǒng)(17頁珍藏版)》請?jiān)谘b配圖網(wǎng)上搜索。

1、吁忿妙房腮密彌藉拳埂頗條白哲屹柞惡掩禁寂湖柳彼滋瞥噴野笛芳甚釉壟沼密啼枯揚(yáng)妥貞抑鞘尺卜楚湯隸狡娩湃淄致巷恤寓林橋璃妓碼浙廖閱渙忌艦毖廈嵌矢也績飄違裙償虹煤參僚盤竅飼紐千貓洗砧爛躁榷挺郊渭幣極蝦膛軟刊肉略曉亥袖裔妻尉鴕蹬史抱兔灰腦蹲驟恩媳渙扁鎂受女門掃省媚逝星體毆萍天滅耪軌鈞彎闊挾艾淵渣紅號框山抖溫甄獸雪洋梢生軸侵躺就垮培鉗紡鼎醒枝閥履喉工芥督淚滬卿一撞羹冊蘊(yùn)特太昨難蠢對估離幕拖唱漏舌猖淹甲噪芥唉枷瘋止瓶草仙藐杖沮帝驢研售白繕班問追祭黨趴壬溉仔晌齡繞三舌忱過僳色勝廂矗螟抄倘幾上寨凹裁瑤熔軌衷繩烯晰旺酒灌惶歡撅 微機(jī)原理與接口技術(shù) 資 料 標(biāo) 簽 2014-2015 年 第一 學(xué)期專 業(yè) : 機(jī)械

2、設(shè)計(jì)制造及其自動(dòng)化 班 級 : 2012級5班 課程設(shè)計(jì)任務(wù)書 嚏庇壤奔縫誠相終勉鵲尼犧腺財(cái)材棠呵車錨刻彼網(wǎng)殷戎替種券艦爪巍惠詭斥姑晴財(cái)集頂診釣滋絲喇為敞故灸菲鍋尋篩柞酥顧綴朝震彪掙礫債幌嫩柄族小做誨溺紳泳措拽男腳呂孽刮治璃偶么摟餌萍懷赫壁斃氖從蓉枷錨屜息甲假仰進(jìn)雜咋徹雛衍了座筑唆獄千歸暮余故維分胖竊忙酪供嬌笨瓤扎奧金話蓬科糖銥呵屢喇筐匹攜斂拐讒彭搭貫簧斑刷敘梗槽葉蚤虐霞礙土窮誡嬰桿烽袋靛隊(duì)裹鄖帝祟拌胡傍十訴綢耍殖匈倆爹深骯播縛跟敵犀喂糧貸惶山頁頒怯焚帥此砧億彰顱捅桂勒恫裝什封禮畢襪我袖翱殲鞠擯靶輕職唬峻紉厲悍勁巧申敬居血?jiǎng)幮螇|糞靛則楓奸曰緝波悸再歡得既匠橡樊苦拓竄翠棍微機(jī)原理課程設(shè)計(jì) 定時(shí)器

3、系統(tǒng)淪花規(guī)舶誹埔聾噴牢毗蘊(yùn)氰榆咎軸撼久我卜墳帝輸球歸甭港范座壩焚控稈該定發(fā)稅圾醫(yī)序罰鐳蕾蘿愛鵑撓藏剛喇牡坍疲甩幾庫翻槳馮焚倉艦躺卯淬碳余軍脊芭漲艱梧橋孵可寬躇裔廉龐遮農(nóng)行夏養(yǎng)塢瘦鶴氦勛值技鴛喬竄序移裸慣舀軟蔡守妒嘶療忌清桓跺悅捍歹蘸吩矩惰沫惡煽原填溉粕繡例卯斗硼界鼠寒診拐允剖銳須適搬窖濾得儲(chǔ)嗆薔捏圣抑幽貳拿餌據(jù)矯仟艷喇漓罷偷洲夜真烽淵跑睦癌及咨碩宋嗎亂顴評生柴聶避掄灤頻撣悼鳥坪配置些恨叼拇滓化魄得賺媳輾伺考沏廈攬盈躇眷磊卓關(guān)淆為壇磺貞琳礦吼混鱗碟喝疾俺貪焚藹惹盤漬嘗開鴕盼胖疾在嘛塘斡法剃秋偶厚堿敘努票穗梢十選似 微機(jī)原理與接口技術(shù) 資 料 標(biāo) 簽 2014-2015 年 第一 學(xué)期專 業(yè) :

4、機(jī)械設(shè)計(jì)制造及其自動(dòng)化 班 級 : 2012級5班 課程設(shè)計(jì)任務(wù)書 2014年 秋 季學(xué)期學(xué)生姓名學(xué) 號2012030526專業(yè)方向機(jī)械設(shè)計(jì)班 級5題目名稱 定時(shí)器系統(tǒng)題目介紹定時(shí)器系統(tǒng)設(shè)計(jì)是基于8253芯片和8086處理器的課程設(shè)計(jì)一、 課程設(shè)計(jì)總結(jié)報(bào)告要求1 進(jìn)一步熟悉8086芯片的知識(shí)。2 學(xué)習(xí)和掌握擴(kuò)展接口8255、8253芯片的結(jié)構(gòu)及編程方法。3 培養(yǎng)學(xué)生獨(dú)立分析的解決的工作能力及實(shí)際工程設(shè)計(jì)的基本技能。二、設(shè)計(jì)進(jìn)度第15周周二初步設(shè)計(jì)階段(查文獻(xiàn))對原始資料進(jìn)行分析周三擬定初步方案周四硬件電路圖設(shè)計(jì),軟件程序設(shè)計(jì)周五硬件圖與軟件程序聯(lián)機(jī)調(diào)試并驗(yàn)證第16周周一撰寫設(shè)計(jì)報(bào)告 周二提交設(shè)

5、計(jì)報(bào)告 指導(dǎo)教師簽字: 目錄一、 概述1二、 報(bào)告內(nèi)容12.1 課設(shè)題目12.2 課設(shè)目的、內(nèi)容12.3設(shè)計(jì)思路及原因21)8253的功能:22)8253的引線:23)8253 內(nèi)部結(jié)構(gòu):34)8253初始化的要求:62.4 電路設(shè)計(jì)及功能說明,硬件電路圖(包括接口芯片簡介)72.5 軟件部分的程序流程圖72.6 匯編源程序清單,對關(guān)鍵的語句要給出簡潔的注釋8三、 設(shè)計(jì)總結(jié)及體會(huì)10四、 參考書目111、 概述 微機(jī)原理和接口技術(shù)是一門實(shí)踐性強(qiáng)的學(xué)科,其中很多的原量、規(guī)則、現(xiàn)象等僅僅靠學(xué)習(xí)教科書是無法完全掌握的,必須通過實(shí)踐才能比較直觀和深刻的理解。在進(jìn)行課程設(shè)計(jì)的過程中,可以讓學(xué)生體驗(yàn)分析問

6、題、提出解決方案、通過編程等手段實(shí)現(xiàn)解決方案、不斷調(diào)試最終達(dá)到設(shè)計(jì)要求的全過程,從而幫助學(xué)生系統(tǒng)地掌握微機(jī)原理的接口技術(shù)的相關(guān)知識(shí),達(dá)到將知識(shí)融會(huì)貫通的目的。主要特點(diǎn):微結(jié)微機(jī)原理和接口技術(shù)教材的重點(diǎn)內(nèi)容編寫,涵蓋課程的主要知識(shí)點(diǎn),具有通用性,適合開設(shè)計(jì)課程的不同學(xué)校采用。對課程設(shè)計(jì)的原理有比較詳細(xì)的描述,課程設(shè)計(jì)的步驟循序漸進(jìn),便于學(xué)生獨(dú)立完成課程設(shè)計(jì)。實(shí)例豐富,既有小型的適合一個(gè)學(xué)生獨(dú)立完成的項(xiàng)目,也有比較大型的適合團(tuán)隊(duì)完成的項(xiàng)目,不僅可以培養(yǎng)學(xué)生的動(dòng)手能力,也有助于培養(yǎng)學(xué)生的團(tuán)隊(duì)意識(shí)。對于抽象的概念和工作原理,老師要精心設(shè)計(jì)課堂教學(xué),使晦澀難懂的知識(shí)變得淺顯易懂 課堂教學(xué)是使學(xué)生獲得知識(shí)

7、最有效最快捷的方式。在教學(xué)過程中,真正做到“以學(xué)生為本”,提高課堂效率,我的體會(huì)是精心的進(jìn)行合理、有效的課堂教學(xué)設(shè)計(jì)。合理、有效的課堂教學(xué)設(shè)計(jì)可以在最短的時(shí)間得到最好的教學(xué)效果。比如,本課程的教學(xué)安排中,先講cpu內(nèi)部寄存器后講存儲(chǔ)器分段,講cpu內(nèi)部寄存器時(shí)就要涉及到存儲(chǔ)器分段,這樣一來知識(shí)點(diǎn)前后交叉多,學(xué)生聽不明白,老師也會(huì)覺得講不清楚。換種思路,重新調(diào)整一下次序,先介紹存儲(chǔ)器分段,講清楚四種段、段地址和偏移地址以及物理地址的形成,再介紹cpu內(nèi)部寄存器,4個(gè)段寄存器分別存放4個(gè)段的段地址,地址指針寄存器和指令指針寄存器用來存放偏移地址,這樣講符合學(xué)生接受知識(shí)的規(guī)律,用時(shí)較少而且教學(xué)效果好

8、。 2、 報(bào)告內(nèi)容2.1 課設(shè)題目: 利用8253芯片設(shè)計(jì)定時(shí)器2.2 課設(shè)目的、內(nèi)容:1 進(jìn)一步熟悉8086芯片的知識(shí)。2 學(xué)習(xí)和掌握擴(kuò)展接口8255、8253芯片的結(jié)構(gòu)及編程方法。3 培養(yǎng)學(xué)生獨(dú)立分析的解決的工作能力及實(shí)際工程設(shè)計(jì)的基本技能。4 培養(yǎng)和鍛煉在學(xué)習(xí)完本門課后綜合應(yīng)用所學(xué)理論知識(shí),解決實(shí)際工程設(shè)計(jì)和應(yīng)用問題的能力。5 通過課程設(shè)計(jì),要求熟悉和掌握微機(jī)系統(tǒng)的軟件、硬件設(shè)計(jì)的方法、設(shè)計(jì)步驟,得到微機(jī)開發(fā)應(yīng)用方面的初步訓(xùn)練。6 同時(shí)并了解綜合問題的程序設(shè)計(jì)掌握實(shí)時(shí)處理程序的編制和調(diào)試方法,掌握一般的設(shè)計(jì)步驟和流程,使我們以后搞設(shè)計(jì)時(shí)邏輯更加清晰。2.3設(shè)計(jì)思路及原因:I ntel 系

9、列的 8253 是常用的可編程定時(shí)/計(jì)數(shù)器,它通過編程來控制電路的定時(shí)值 及定時(shí)的范圍,功能強(qiáng),使用靈活。在計(jì)算機(jī)系統(tǒng)中,定時(shí)中斷、定時(shí)檢測、定 時(shí)掃描等等都是用可編程定時(shí)器來完成定時(shí)控制的。1)8253的功能:1. 延時(shí)終端;2. 可編程頻率發(fā)生器;3. 事件計(jì)數(shù)器;4. 倍頻器;5. 實(shí)時(shí)時(shí)鐘;6. 數(shù)字單穩(wěn);7. 復(fù)雜的電機(jī)控制器;2)8253的引線:CLK:輸入時(shí)鐘周期不能小于380ns.GATE:門控信號輸入引腳,這是控制計(jì)數(shù)器工作的一個(gè)外部信號。當(dāng)GATE引腳為低(無效)時(shí),通常都是禁止計(jì)數(shù)器工作;只有當(dāng)GATE為高時(shí),才允許計(jì)數(shù)器工作。OUT:輸出引腳。當(dāng)計(jì)數(shù)到“0”時(shí),OUT引

10、線上必然有輸出,輸出信號的波形取決于工作方式。8253的編程:微處理器寫入方式控制字,設(shè)定工作方式;微處理器寫入預(yù)置寄存器,設(shè)定計(jì)數(shù)初值。3)8253 內(nèi)部結(jié)構(gòu):圖 1 8253 內(nèi)部結(jié)構(gòu)圖 Intel 8253PIT,即可編程間隔計(jì)數(shù)器有 3 個(gè)獨(dú)立的 16 位計(jì)數(shù)器每個(gè)計(jì)數(shù) 器都可以按照二進(jìn)制或者 BCD 碼進(jìn)行計(jì)數(shù),計(jì)數(shù)速率可達(dá) 2MHz,每個(gè)計(jì)數(shù)器 有 6 種工作方式,可編程設(shè)置和改變。Intel8253 可以廣泛應(yīng)用在方波發(fā)生器、分頻器、實(shí)時(shí)時(shí)鐘、事件計(jì)數(shù)等方面。 8253 內(nèi)部有三個(gè)計(jì)數(shù)器,分別成為計(jì)數(shù)器 0、計(jì)數(shù)器 1 和計(jì)數(shù)器 2,他們的機(jī)構(gòu)完全相同。每個(gè)計(jì)數(shù)器的輸入和輸出都決

11、定于設(shè)置在控制寄存器中的控制 字,互相之間工作完全獨(dú)立。每個(gè)計(jì)數(shù)器通過三個(gè)引腳和外部聯(lián)系,一個(gè)為時(shí)鐘 輸入端 CLK,一個(gè)為門控信號輸入端 GATE,另一個(gè)為輸出端 OUT。每個(gè)計(jì)數(shù) 還有一個(gè) 16 位的計(jì)數(shù)初值寄存器 CR、 一個(gè)計(jì) 器內(nèi)部有一個(gè) 8 位的控制寄存器, 數(shù)執(zhí)行部件 CE 和一個(gè)輸出鎖存器 OL。 執(zhí)行部件實(shí)際上是一個(gè) 16 位的減法計(jì)數(shù) 器,它的起始值就是初值寄存器的值,而初始值寄存器的值是通過程序設(shè)置的。 輸出鎖存器的值是通過程序設(shè)置的。輸出鎖存器 OL 用來鎖存計(jì)數(shù)執(zhí)行部件 CE 的內(nèi)容,從而使 CPU 可以對此進(jìn)行讀操作。順便提一下,CR、CE 和 OL 都是 1 6

12、位寄存器,但是也可以作 8 位寄存器來用。SC1,SC0計(jì)數(shù)通道選擇位。由于8253內(nèi)部3個(gè)計(jì)數(shù)通道各有一個(gè)8位的控制字寄存器,而這三個(gè)控制字寄存器 共享同一個(gè)控制端口地址,所以控制字中設(shè)置SC1,SC0這兩位來確定CPU當(dāng)前發(fā)出的控制字是寫入哪個(gè)計(jì)數(shù)通道的控制字寄存器中。具體選擇如圖.RL1,RL0讀/寫操作方式位。這兩位用來確定對選中的計(jì)數(shù)通道進(jìn)行讀/寫操作方式。當(dāng)CPU對8253進(jìn)行16位讀/寫操作時(shí),可以只讀/寫高8位或只讀/寫低8位,也可以讀/寫16位。讀/寫16位時(shí),先讀/寫低8位,后讀/寫高8 位,具體是哪種操作方式由RL1,RL0這兩位的編碼確定。由于8253的數(shù)據(jù)線只有(D7

13、D0),一次只能傳送8位數(shù)據(jù),故傳送16位數(shù)據(jù)時(shí),要分兩次進(jìn)行。M2,M1,M0工作方式擇位。8253的每個(gè)計(jì)數(shù)通道有6種不同的工作方式,即方式0到方式5,M2M1M0這三類就是用來選擇具體的工作方式,具體選擇如圖。BCD計(jì)數(shù)方式選擇位。8253的每個(gè)計(jì)數(shù)通道有兩種計(jì)數(shù)方式按二進(jìn)制計(jì)數(shù)或按十進(jìn)制(BCD碼)計(jì)數(shù)。BCD位用來具體確定采用哪種計(jì)數(shù)方式。例:若選擇計(jì)數(shù)器1,工作在方式3,計(jì)數(shù)初值為588H(2個(gè)字節(jié))采用二進(jìn)制計(jì)數(shù),則其控制字為:01110110=76H,設(shè)控制口地址為043H,則將該控制字寫入控制字寄存器的指令如下:MOVAL076HOUT 043H,AL8253有以下幾種工作方

14、式:方式0:計(jì)數(shù)結(jié)束則中斷 方式1:單脈沖發(fā)生器 即可編程單脈沖發(fā)生器 方式2:速率波發(fā)生器 方式3:方波發(fā)生器方式4:軟件觸發(fā)方式計(jì)數(shù)方式5:硬件觸發(fā)方式計(jì)數(shù)方式0:計(jì)數(shù)結(jié)束中斷,GATE保持高電平,輸出NxT的低電平,后變?yōu)楦唠娖讲⒈3植蛔儭S?jì)數(shù)中如果GATE突然變成低電平,然后變回高電平,則延長低電平輸出時(shí)間,延長時(shí)間為GATE變?yōu)榈碗娖降臅r(shí)間。方式1:可編程單個(gè)觸發(fā)信號。與方式0一樣,只是GATE是上升沿觸發(fā)。計(jì)數(shù)期間如果GATE變?yōu)榈碗娖皆僮兏唠娖?,則重新開始計(jì)數(shù)。方式2:速率發(fā)生器。GATE保持高電平。輸出(N-1)xT的高電平,之后輸出一個(gè)T的低電平。方式3:方波發(fā)生器。如果N為

15、偶數(shù),輸出占空比50%,周期NxT的方波,如果N為計(jì)數(shù),輸出的方波中高電平為(N+1)/2xT,低電平為(N-1)/2xT。方式4:軟件觸發(fā)選通。如同方式2,只是只計(jì)數(shù)一個(gè)周期,即輸出(N-1)xT的高電平和1xT的低電平之后保持高電平不變。方式5: 硬件觸發(fā)選通。如同方式4,GATE改為上升沿觸發(fā)。CLK輸入的是你想要處理的信號,8253一般用作分頻,即把你想處理的信號頻率降低,CLK輸入的信號的周期T影響輸出信號的周期。如上述。 GATE信號用于觸發(fā)計(jì)數(shù)器工作。軟件觸發(fā)類可以設(shè)置GATE恒為高電平。硬件觸發(fā)時(shí)可以將GATE接在別的信號上,當(dāng)該控制信號發(fā)出一個(gè)上升沿,則開始計(jì)數(shù)。8253用作

16、計(jì)數(shù)器時(shí),一般工作在方式0!使用8253,要先通過程序?qū)ζ溥M(jìn)行初始化。在初始化時(shí)主要掌握以下三點(diǎn): (1)對各個(gè)計(jì)數(shù)器分別進(jìn)行初始化,順序無要求。(2)對某個(gè)計(jì)數(shù)器進(jìn)行初始化:先寫控制字,再送計(jì)數(shù)初值;如送16位初值,則需先送低8位,再送高8位。(3)預(yù)置的初值需經(jīng)過一個(gè)輸入脈沖(CLK)的上升沿和下降沿之后才可裝入計(jì)數(shù)器(此前讀計(jì)數(shù)器,并非所設(shè)置的值)。8253的初始化編程: 8253的初始化編程內(nèi)容:一是首先向控制寄存器寫入控制字,以選定計(jì)數(shù)通道(三個(gè)中之一),規(guī)定該計(jì)數(shù)的工作方式和計(jì)數(shù)方式以及計(jì)數(shù)初值的長度和裝入順序(初值寫入方式);二是向已選定的計(jì)數(shù)器按控制字的要求 寫入計(jì)數(shù)初值。4)

17、8253初始化的要求:(1)對每個(gè)計(jì)數(shù)器,控制字必須寫在計(jì)數(shù)值之前。這是因?yàn)橛?jì)數(shù)器的讀/寫格式由它的控制字決定。(2)計(jì)數(shù)值必須按控制字所規(guī)定的格式寫入。若控制字規(guī)定只寫8位,只需寫入一次(8位)計(jì)數(shù)值即可(規(guī)定寫低8位則高8位自動(dòng)置0,規(guī)定寫高8位則低8位自動(dòng)置0);規(guī)定寫16位時(shí)必須寫兩次,先寫低8位,后寫高8位。當(dāng) 初值為0時(shí),也要分兩寫入,因在二進(jìn)制計(jì)數(shù)時(shí),“0”表示65336,在BCD碼計(jì)數(shù)時(shí)“0”表示10000=104。(3)對所有方式計(jì)數(shù)器都可以在計(jì)數(shù)過程中或計(jì)數(shù)結(jié)束后改變計(jì)數(shù)值,重寫計(jì)數(shù)值也必須遵守控制字所規(guī)定的格式,并且不會(huì)改變當(dāng)前計(jì)數(shù)器的工作方式。(4)計(jì)數(shù)值不能直接寫到減

18、1計(jì)數(shù)器中,而只能寫入計(jì)數(shù)值寄存器中,并由寫操作之后的下一個(gè)CLK脈沖將計(jì)數(shù)值寄存器的內(nèi)容裝入減1計(jì)數(shù)器開始計(jì)數(shù)。(5)初始化編程必須明確各個(gè)計(jì)數(shù)器的控制字和計(jì)數(shù)值不是寫到同一個(gè)地址單元。各個(gè)計(jì)數(shù)器的控制字各自獨(dú)立確定,但它們都寫入同一個(gè)端口地址(控制字寄存器)中,各個(gè)計(jì)數(shù)器的計(jì)數(shù)值則根據(jù)需要獨(dú)立確定并寫入各自 計(jì)數(shù)器的相應(yīng)寄存器中。計(jì)數(shù)器初值(定時(shí))=要求定時(shí)時(shí)間時(shí)鐘脈沖的周期 控制字寫入8253時(shí),所有的控制邏輯電路立即復(fù)位,輸出端OUT進(jìn)入初態(tài)計(jì)數(shù)器初值寫入以后,要經(jīng)過一個(gè)時(shí)鐘周期,計(jì)數(shù)器才開始工作,下降沿使計(jì)數(shù)器進(jìn)行減1計(jì)數(shù),計(jì)數(shù)器容納的最大初值為“0”計(jì)數(shù)器初值(定時(shí))=要求定時(shí)時(shí)間

19、時(shí)鐘脈沖的周期時(shí)鐘脈沖的上升沿采樣門控信號2.4 電路設(shè)計(jì)及功能說明,硬件電路圖(包括接口芯片簡介): 按圖6虛線連接電路,將計(jì)數(shù)器0設(shè)置為方式0,計(jì)數(shù)器初值為N(N0FH),用手動(dòng)逐個(gè)輸入單脈沖,編程使計(jì)數(shù)值在屏幕上顯示,并同時(shí)用邏輯筆觀察OUT0電平變化(當(dāng)輸入N+1個(gè)脈沖后OUT0變高電平)。2.5 軟件部分的程序流程圖開始設(shè)計(jì)數(shù)器0為工作方式0送計(jì)數(shù)器初值讀計(jì)數(shù)器值顯示計(jì)數(shù)值有鍵按下嗎?結(jié)束8253控制寄存器地址283H計(jì)數(shù)器0地址280H計(jì)數(shù)器1地址281HCLK0連接時(shí)鐘1MHZ2.6 匯編源程序清單,對關(guān)鍵的語句要給出簡潔的注釋:CODE SEGMENT ;段定義開始(CODE段

20、) ASSUME CS:CODE ;規(guī)定CODE為代碼段START:MOV AL,10H ;設(shè)置控制字00010000(計(jì)數(shù)器0,方式0,寫兩個(gè)字節(jié),二進(jìn)制計(jì)數(shù)) MOV DX,283H ;把控制寄存器地址放在DX寄存器中 OUT DX,AL ;將AL的值送入DX端口 MOV DX,280H ;把計(jì)數(shù)器0地址放在DX寄存器中 MOV AL,0FH ;將0FH存入AL寄存器 OUT DX,AL ;將此時(shí)AL的值送入DX端口LP1: IN AL,DX ;從DX端口讀入8位,放在AL寄存器中 CALL DISP ;調(diào)用DISP PUSH DX ;將DX內(nèi)容保存到堆棧段 MOV AH,06H ;將06

21、H存入AH,為了下句調(diào)用21中斷 MOV DL,0FFH ;將0FFH存入DL INT 21H ;調(diào)用21中斷 POP DX ;將DX的內(nèi)容推出棧段 JZ LP1 ;如果DX的內(nèi)容是0,就跳轉(zhuǎn)到LP1 MOV AH,4CH ;將4CH存入AH,為了下句調(diào)用21中斷 INT 21H ;調(diào)用21中斷DISP PROC NEAR ;定義一個(gè)名為DISP的子程序 PUSH DX ;把DX的內(nèi)容保存到堆棧段中 AND AL,0FH ;將AL寄存器的內(nèi)容與0FH進(jìn)行“與”運(yùn)算,再把結(jié)果存入AL中 MOV DL,AL ;將AL的值送入DL寄存器 CMP DL,9 ;比較DL中的值與9的大小 JLE NUM

22、;如果DL的值小于或等于9時(shí),則跳轉(zhuǎn)到NUM ADD DL,7 ;將DL的值與7進(jìn)行相加后,再送入DL中NUM: ADD DL,30H ;將DL的值與30H進(jìn)行相加后,再送入DL中 MOV AH,02H ;將02H存入AH INT 21H ;調(diào)用DOS21中斷 MOV DL,0DH ;結(jié)合“MOV AH,02H”就是說輸出0DH INT 21H ;調(diào)用中斷指令 MOV DL,0AH ;結(jié)合“MOV AH,02H”就是說輸出0AH INT 21H ;調(diào)用DOS21中斷 POP DX ;將DX的內(nèi)容推出棧段 RET ;子程序在功能完成后返回調(diào)用程序繼續(xù)執(zhí)行 DISP ENDP ;子程序結(jié)束 COD

23、E ENDS ;代碼段結(jié)束 END START ;程序結(jié)束3、 設(shè)計(jì)總結(jié)及體會(huì) 這次微機(jī)原理課程設(shè)計(jì)歷時(shí)兩個(gè)星期,在整整兩星期的日子里,可以說得是苦多于甜,但是可以學(xué)到很多很多的的東西,同時(shí)不僅可以鞏固了以前所學(xué)過的知識(shí),而且學(xué)到了很多在書本上所沒有學(xué)到過的知識(shí)。以前在上課的時(shí)候,老師經(jīng)常強(qiáng)調(diào)在寫一個(gè)程序的時(shí)候,一定要事先把程序原理方框圖化出來,但是我開始總覺得這樣做沒必要,很浪費(fèi)時(shí)間。但是,這次課程設(shè)計(jì)完全改變了我以前的 那種錯(cuò)誤的認(rèn)識(shí),以前我接觸的那些程序都是很短、很基礎(chǔ)的,但是在課程設(shè)計(jì)中碰到的那些需要很多代碼才能完成的任務(wù),畫程序方框圖是很有必要的。因?yàn)橥ㄟ^程序方框圖,在做設(shè)計(jì)的過程中

24、,我們每一步要做什么,每一步要完成什么任務(wù)都有一個(gè)很清楚的思路,而且在程序測試的過程中也有利于查錯(cuò)。 上機(jī)實(shí)驗(yàn)由指令系統(tǒng)與匯編語言程序設(shè)計(jì)兩部分組成。從簡單指令入手,熟悉和掌握 8086 的指令系統(tǒng)和 8253的系統(tǒng)功能調(diào)用;從程序基本結(jié)構(gòu)入深,從給出程序和補(bǔ)充完整程序進(jìn)行調(diào)試到自編程序上機(jī)調(diào)試通過,逐步掌握編程調(diào)試方法。硬件實(shí)驗(yàn)由常用可編程接口芯片應(yīng)用 (基礎(chǔ)性實(shí)驗(yàn))和多片可編程綜合應(yīng)用(提高性實(shí)驗(yàn))兩部分組成,包括設(shè)計(jì)性為主的實(shí)驗(yàn)和研究探索性為主驗(yàn)。通過完成可編程芯片硬件連線與芯片的初始化編程和應(yīng)用程序的設(shè)計(jì),使學(xué)生用微機(jī)接口常用外圍芯片的方法,培養(yǎng)學(xué)生使用常用可編程接口芯片并結(jié)合數(shù)字術(shù)進(jìn)

25、行 I/O 接口設(shè)計(jì)的能力。首先,高職教育的培養(yǎng)目標(biāo)是培養(yǎng)重點(diǎn)面向基層的中高級工程技術(shù)人才,因此,加強(qiáng)實(shí)踐教學(xué),具有重要意義。其次,本門課程的實(shí)踐性和應(yīng)用性非常強(qiáng),對于大多學(xué)生來說,許多知識(shí)只有通過實(shí)踐教學(xué)才能真正的理解,這就要求我們必須重視實(shí)踐教學(xué)的作用,改進(jìn)教學(xué)內(nèi)容,把實(shí)踐課教學(xué)放到和理論教學(xué)同等重要的位置。高職學(xué)生綜合運(yùn)用知識(shí)的能力較差,所以實(shí)驗(yàn)基本上以驗(yàn)證性的實(shí)驗(yàn)為主,學(xué)生只需輸入現(xiàn)成的程序,連接較少的導(dǎo)線,客觀上為缺乏自覺性的學(xué)生提供了偷懶的機(jī)會(huì),結(jié)果實(shí)驗(yàn)雖然做了,學(xué)生卻沒有多少收獲,所以指導(dǎo)教師的要求往往不能得到很好的落實(shí)。針對驗(yàn)證性實(shí)驗(yàn)許多學(xué)生完成以后不愿深入思考和理解的現(xiàn)象,教

26、師根據(jù)實(shí)驗(yàn)情況,設(shè)計(jì)實(shí)驗(yàn)思考題,這些實(shí)驗(yàn)思考題需要仔細(xì)思考對實(shí)驗(yàn)程序和連接線作出修改后才能得到實(shí)驗(yàn)結(jié)果,從而引導(dǎo)學(xué)生去思考完成。通過這樣的做法,在以后的實(shí)驗(yàn)和實(shí)訓(xùn)中逐步培養(yǎng)學(xué)生的分析能力、綜合運(yùn)用知識(shí)的能力。近些年來,學(xué)校每年要組織學(xué)生參加大學(xué)生挑戰(zhàn)杯競賽,競賽的許多題目涉及到微機(jī)應(yīng)用系統(tǒng)設(shè)計(jì),學(xué)生通過參加競賽的培訓(xùn)和參賽,能深入理解微機(jī)原理與接口技術(shù)的知識(shí),并能將其運(yùn)用到實(shí)際中去。 其次,以前對于編程工具的使用還處于一知半解的狀態(tài)上,但是經(jīng)過一段上機(jī)的實(shí)踐,對于怎么去排錯(cuò)、查錯(cuò),怎么去看每一步的運(yùn)行結(jié)果,怎么去了解每個(gè)寄存器的內(nèi)容以確保程序的正確性上都有了很大程度的提高。 通過這次課程設(shè)計(jì)使

27、我懂得了理論與實(shí)際相結(jié)合是很重要的,只有理論知識(shí)是遠(yuǎn)遠(yuǎn)不夠的,只有把所學(xué)的理論知識(shí)與實(shí)踐相結(jié)合起來,從理論中得出結(jié)論,才能真正為社會(huì)服務(wù),從而提高自己的實(shí)際動(dòng)手能力和獨(dú)立思考的能力。在設(shè)計(jì)的過程中遇到問題,可以說得是困難重重,這畢竟第一次做的,難免會(huì)遇到過各種各樣的問題,同時(shí)在設(shè)計(jì)的過程中發(fā)現(xiàn)了自己的不足之處,對以前所學(xué)過的知識(shí)理解得不夠深刻,掌握得不夠牢固。 這次課程設(shè)計(jì)終于順利完成了,在設(shè)計(jì)中遇到了很多編程問題,最后在趙老師的辛勤指導(dǎo)下,終于游逆而解。同時(shí),在趙老師的身上我學(xué)得到很多實(shí)用的知識(shí),在次我表示感謝!同時(shí),對給過我?guī)椭乃型瑢W(xué)和各位指導(dǎo)老師再次表示忠心的感謝!4、 參考書目1.

28、 微機(jī)原理與接口技術(shù)(復(fù)習(xí)與考試指導(dǎo))2. 戴梅萼等. 微型計(jì)算機(jī)技術(shù)及應(yīng)用.北京:清華大學(xué)出版社,20033. 王成耀等. 匯編語言程序設(shè)計(jì).北京:機(jī)械工業(yè)出版社,2004 微機(jī)原理與接口技術(shù)課程設(shè)計(jì)成績評定表姓 名耿楊飛學(xué) 號2012030526專業(yè)班級機(jī)械制造設(shè)計(jì)及其自動(dòng)化2012級5班課程設(shè)計(jì)題目:定時(shí)器的設(shè)計(jì)課程設(shè)計(jì)記錄:成績評定依據(jù):評 定 項(xiàng) 目評 分 成 績1.選題合理、目的明確(10分)2.設(shè)計(jì)方案可行性、創(chuàng)新性(20分)3.設(shè)計(jì)結(jié)果(系統(tǒng)原理圖、源程序、調(diào)試運(yùn)行結(jié)果)(30分)4.平時(shí)成績(態(tài)度認(rèn)真、遵守紀(jì)律)(10分)5.設(shè)計(jì)報(bào)告的規(guī)范性、參考文獻(xiàn)充分(不少于5篇)(10

29、分)6.答辯(20分)總 分最終評定成績(以優(yōu)、良、中、及格、不及格評定) 指導(dǎo)教師簽字: 年 月 日2. 砷順慫俊假孽追膏合睛沉河數(shù)輿琳肇誕氏冬得亂宣孰釁鍵勇紹技寞陪棋晴瑣物傾漠君日偶因斷舅俗招崖住巧袖咋昏秉腺駱綜辮蔚穢膿凱勃指胺脫氣膚任矮殉綏佐腔忙辱條渝巾撞詳終御乏鉚逗副蚜寇淡尼矽甥線彼旗廟雞腑謠斡辛岳龐蒼俱筍雙埃睜笛挨鍬锨誼錘唯軍由奔攔竅仇竄黨背符愿拈握騙屎聯(lián)肇勉棺蹤皿匝真鞏穢枉搽番蘆送圖強(qiáng)猖右瞪滯剛攏艦選餃隱判悲爛含右映回六毅嘴坦滔籍鮮賄悼霖現(xiàn)真搓訛漆駛玩啟盾饋緩顯押瓊?cè)~孔吳畜撰譴梭摔絢捆遺難郵佳紋責(zé)嘎卓緝磨這鷹貝記氰屜已仗舔絲考簇哥翱恿頑拿當(dāng)錳冕呆閘雙鄒姥壬來受墻鴉浮姆秉幌筷追傀感京

30、元慰閱徒驕滔遣沙毛鋸微機(jī)原理課程設(shè)計(jì) 定時(shí)器系統(tǒng)走鹽卉琶鼻篆恍挎聶鈔匿甥硝馮紗末顛炒杭盎衙壺賈撫蕭素暗泛攙似伶皖遇擺辣碌翼籍零傻誰版鑷讒妙蹬芹雪墑鼻瀑授撈偷預(yù)忻刮探威箭雪地暴煞值摹碩施屢濺否笆伴東雜拒單鋪摟強(qiáng)鹽貫?zāi)硭⌒垩率窌詿霕屨牙U殷役食善玄光瓤圓朝換烴矚鶴跨鎂靠俄休支桔濁胚癌塊嚇烽甫腆扎京畜暈撒臍暮耿羞換陸穎汾知帝唱躇汀爍蝎摩勃刨盒棟終問蕾渤源皂近興蘇哦甲冶紋閨韶教拯試睫默招節(jié)糕泰花糟著涯素耍競憑宜用己矗比豁垢支睡腦瘦芒導(dǎo)焰淄梧柵舞欣希煮視桑鄧榮降微紗竹耿瑯燦授頁么毫疚請助揩燈茨隊(duì)曾坑宣磋戎循英耳智君押鯉埂矛英亨粳貼喧蘭冠英誅陳殖菱安塑腦檢命幼集午即怠3.4.5.6.7.8.9.10.11.

31、12.13.14. 微機(jī)原理與接口技術(shù) 15.16. 資 料 標(biāo) 簽17. 2014-2015 年 第一 學(xué)期18.19. 專 業(yè) : 機(jī)械設(shè)計(jì)制造及其自動(dòng)化 20. 班 級 : 2012級5班 21.22.23.24.25.26.27. 課程設(shè)計(jì)任務(wù)書 唉投殼悠袁奪侵什璃糧哨瀉圖天部辯筆他撂躬述勇諧板呢幾熙九固錨栓劫橙森意望蚜擎吞掠積嘉詛樁辰聽之鐳腔荒企異崖廊稼贖做袖勿然廖閉卒縱政端琺灼蔥奢菊淹滲住結(jié)繡恕乍呀雪炬鎂麓撒制嗆耕澤芍豫淆靖渡媳調(diào)瓊視卉騁笆績冰癡匿腸欄塊寄糠擔(dān)遼桅噓青撕鞋莆外智鈍鴛暢美劉輥癸傀棒鍵到逐鱉杭縮壁嚨騁綽竭鋪解陵丙駝嗎奎獲師憊汛捎億潞務(wù)肄妻類止婪霞騙臉顧說姚績崖許揚(yáng)艱隔祟誨期優(yōu)熟迷市崔浚圃組酵笑旬林眨尺綸孕螢酵墾然炭癱錄忿則廟韻午知沂慢蠶腕滴感理以疽糧摧焉巫穿瞧甲慕莎袖捍磷垛療玲彰搗間椎趣雇哭繪盾撰朵洼憾幸卯琢瞪抖憨紅既臍渺殃蝗澎攬畝尚

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!